Disciplina: Laboratório de Circuitos Digitais

Tamanho: px
Começar a partir da página:

Download "Disciplina: Laboratório de Circuitos Digitais"

Transcrição

1 Universidade Federal de São Carlos Disciplina: Laboratório de Circuitos Digitais Prof. Dr. Emerson Carlos Pedrino 9ª Pratica: Computador de 16 bits Data:17/07/2014 Nome: Adrián Agüero Faraldo RA: Introdução O seguinte trabalho é um relatório dos fatos realizados nas aulas do dia 03 de julho do onde foi estudado a arquitetura e funcionamento de cada componente de um computador de 16 bits. Durante a prática foi desenhado os diagramas esquemáticos, a simulação e execução do circuito no PLD da família ALTERA e software de desenvolvimento chamado Quartus II. 1

2 Materiais utilizados Altera Cyclone II: é um FPGA (Field Programmable Gate Array) de baixo costo, é dizer, é um dispositivo semi-condutor que contem blocos lógicos inter-conetados e sua funcionalidade pode ser configurável mediante um linguaje de descrição de hardware. A lógica programável pode realizar desde funções tão sencilhas como las levadas por uma porta lógica hasta complexos sistemas num chip. Quartus II: é uma ferramenta de software produzida pela Altera para o análises y la sínteses de desenhos realizados em HDL. Permite a função de desenho de esquemas lógicos, programação em linguagens de descrição de hardware, simulação e execução do um projeto. Desenvolvimento Processador O processador, é o componente principal do computador e outros dispositivos programáveis, que interpreta as instruções contidas nos programas e processa os dados. Os processadores fornecem a característica fundamental do computador digital (a 2

3 programabilidade) e é umo dos componentes necessários encontrados nos computadores de qualquer tempo, junto com a memoria principal e os dispositivos de entrada/saída. Componentes: Os componente de um processador são: Contador de programa (PC): é um registrador que indica qual é a posição atual na sequência de execução de um computador. Registro de instruções (IR): é um registrador onde se armazena a instrução que esta sendo executando. Nos processadores simples cada instrução que vai ser executada é cargada no registrador de instruções que a vai conter até que seja decodificada, preparada e ao final executada, um processo que pode ser realizado em vários passos. Acumulador (AC): é um registrador no que são armazenados temporalmente os resultados aritméticos e lógicos intermédios que serram tratados pelo circuito operacional da unidade aritmético lógica (ALU). Sim um registrador como um acumulador, seria necessário escrever o resultado de cada cálculo, como suma, multiplicação e deslocamento, etc... na memoria principal, e talvez esse dado ter que ser lido imediatamente outra vez para na seguinte operação. O acesso á memoria principal é significativamente mais lento que o acesso a um registro como o acumulador porque la tecnologia usada para la memoria principal é mais lenta e barata que a usada pelos registrador interno do CPU. 3

4 Unidade Aritmética Lógica (ALU): é um circuito integrado que pode calcular operações matemáticas como sumar, restar, multiplicar, dividir, incrementar, decrementar, etc. e operações lógicas como and, or, xor, not, entre outras. Memory Address Register (MAR): em português Registrador de Endereços de Memoria, é um registrador específico de alta velocidade, integrado no processador. Este registrador contem o endereço do dado que se quere ler ou escrever. O registrador está conectado com o bus de endereços, e o valor dele se reflexa neste bus. O número de endereços que é possível direcionar com um processador depende do tamanho do MAR. Se o MAR tem n bits de tamanho então a capacidade de direcionamento é de um máximo de palavras. Memory Data Register (MDR): em português Registrador de Dados de Memoria, é um registrador específico de alta velocidade e pouca capacidade, integrado no processador. O registrador esta conectado ao bus de dados e a través dele, o processador pode ler ou escrever um dado neste bus, que a continuação chegara á memoria ou a uma porta de entrada/saída. Unidade de Controle (UC): é o componente responsável de funcionamento do processador, ele executa três ações básicas intrínsecas e pré-programadas pelo próprio fabricante do processador, são elas: busca (fetch), decodificação e execução. 4

5 Ao iniciar sua operação, realiza uma operação cíclica, tendo como base essas três ações. Dependendo do tipo de processador, a unidade de controle pode se ser fixa ou programável. A unidade fixa é aquela unidade que já vem com todo o conjunto de instrução programado em uma arreglo lógico programávle que é construída pelo fabricante,dentro da UC. Inicialmente, a UC de controle fornece o endereço de memória de onde deve retirar um byte ou mais, conhecido como chunk, esse chunk pode conter um código de operação opcode, ou um operando também conhecido como dado. Lembre-se, na primeira posição de memória deve sempre ser gravado um opcode, pois só o opcode pode informar para a UC qual ação deve ser tomada depois. Além de controlar a posição de memória que contém a instrução corrente que o computador está executando a UC, ao decodificar o opcode, informa à ULA qual operação a executar: soma ou subtração nos processadores de 8 bits. Nos processadores de 16 bits podem ser efectuadas as instruções de soma,subtração, divisão e multiplicação. Uma característica muito importante de nota é que a arquitetura de um processador pode ser orientada de dois tipos: por registrador ou para a memória. Se for orientada para registradores como no caso da arquitetura Intel, a ULA, após executar qualquer operação lógica ou aritmética, sempre vai armazenar o resultado no registrador acumulador. No caso de ser orientado para memória, como é o caso dos microprocessadores da Motorola, nem sempre o resultado é armazenado no acumulador, podendo esse ser armazenado em qualquer posição de memória. Terminada a primeira instrução, a unidade de controle auto incrementa um contador, chamado de contador de programa e vai para a próxima instrução (tipicamente localizada na próxima posição da memória (endereço de memória), a menos que a instrução seja uma instrução de desvio informando o computador que a próxima instrução está em outra posição). Memoria: Memória é um termo genérico para designar componentes de um sistema capazes de armazenar dados e programas. Podem ser RAM, EEPROM, etc. Entrada/saída: é um termo indicando entrada (inserção) de dados por meio de algum código ou programa, para algum outro programa ou hardware, bem como a sua saída (obtenção de dados) ou retorno de dados, como resultado de alguma operação de algum programa, consequentemente resultado de alguma entrada. São exemplos de unidades de entrada: chaves, botões, etc. e de unidades de saída telas, displays, leds, etc. 5

6 Detalhes de desenho: Uma das caracteristicas principais de um processador é a largura de suas operações. O processador que sera implementado por exemplo é um processador de 16 bits, é dizer, que ele é capaz de trabalhar com dados e instruções de tamanho de até 16 bits. Onde para as instruções os primeiros 8 bits mais significativos correspondem ao código da operação (Opcode) e os outros 8 bits menos significativos correspondem ao endereço de memoria (Address) onde esta armazenado o dado que vai ser utilizado pela instrução. Uma vez definido a largura das instruções e dos dados, o seguinte passo é definir o código ensamblador que vai ser utilizado, este será fixo para o processador. Normalmente algumas das operações comuns dentro de qualquer processador são as seguintes: Funcionamento: Lembra que o funcionamento básico de processador é buscar (fetch), decodificar(decode) e executar (execute). E eles tem as seguintes ações: 6

7 Para conhecer melhor o funcionamento do processador, a continuação se observa a execução de um programa passo a passo: O exemplo é A = B + C onde B corresponde ao endereço de memoria 11, C ao endereçõ 12 e A ao endereço 10. E as operações já estavam definidas no linguagem ensamblador antes presentado. Se detalha o funcionamento completo da primeira instrução (realizada em os três passos: busca, decodificar e executar) as seguintes instruções só são decriptas em seu funcionamento geral, explicando os feitos mais importantes. Para a instrução LOAD B (02 11) temos: 1) Passo Cero (Caso inicial) Para o analise da execução do programa se utiliza os diagramas de datapath. Nesta imagem podemos observar como o primeiro estado do processador é o estado de reset onde todos os registradores tornam para o valor 0. 7

8 Estado inicial Estado final depois da primeira instrução. Então como o registrador memory_write (dedicado controlar a forma de acesso á memoria) também é 0 a memoria trabalha em modo de leitura o que produze que o bus MDR tenga cargado o valor do registrador MAR (que também é cero) e que contem a primeira instrução do programa que no exemplo é o bem LOAD B. Lembre que o valor deste endereço de memoria tem que uma instrução e não um dado. 2) Primeiro passo. (Busca): Aqui o registrador IR guarda o valor de bus MDR (02 11). Incrementa em um o valor registrador PC (de 00 a 01), e atualiza o valor de MAR (de 00 a 11) e prepara MDR com o valor do endereço marcado na MAR, para o exemplo MDR passa a Observe como já foi preparado os valores da nova instrução para o seguinte passo ou ciclo do clock. 3) Segundo Passo (Decodificar): Para a descodificação o processador identifica qual é a operação que se deseja realizar, esta esta en IR[15..8] que é 02 o bem LOAD para o exemplo. Uma vez identificada a operação o registrador MAR muda para o valor do endereço da instrução que esta em IR[7..0] que te tem o valor 11 o bem B. Observe como aqui também foi preparado os valores da nova instrução para o seguinte passo ou ciclo do clock. 3) Terceiro Passo (Execução): 8

9 Para a execução o valor de MDA que era 11 vai para o RA e imediatamente o MDA passa para o valor do registrador PC que apontava á seguinte instrução que tém o endereço 01 E é assim como só utilizando os três estados (busca, decodificar, executar) é possível realizar qualquer operação em memoria que tinha alguma das ações conhecidas pelo processador. Para a instrução ADD C (00 12) temos: Estado inicial Estado final depois da segunda instrução. Depois de realizar os três passos anteriores, ficara o novo valor dentro da memoria do ALU (esta operação se realiza no mesmo instante de chegada dos dois dados, lembre executa seu trabalho como um circuito combinacional). Para a instrução STORE A (01 10) temos: Depois de realizar os três passos anteriores, o valor armazenado dentro do ALU passa para o AR e deste registrador passa para o endereço de memoria 10. E até aqui vai o programa. Se o processador não encontra uma instrução valida por exemplo a chegar ao final do programa de exemplo, este permanecera quieto nessa posição de memoria. (Ou sino o mesmo continuaria avançando pela memoria em um bucle infinito). Implementação do processador de 16 bits em Verilog. Para implementar todos os conceitos estudados do processador em verilog se deve seguir os seguintes pasos 9

10 1. Criar um novo projecto na plataforma Quartus II Pressionar File -> new -> new proyect no menu do programa. Aparecera uma ventana de dialogo com 5 passos. Na primeira deve-se selecionar o diretório onde ficara o projecto e escrever sua nome. Na segunda, pressionamos Seguinte. Na terceira deve-se selecionar a família do dispositivo e seu nome. Em nosso caso é Cyclone II e EP2C70F672C7. Esta informação está na placa no chip principal. Pressionar seguinte para todas as paginas seguintes. Pressionar finalizar 2. Criar um arquivo Verilog HDL (em File -> New.. -> Verilog HDL File), copiamos o seguinte código. Se define as portas de entrada e saída do processador com o padrão anterior onde os datos tem um tamanho de 16 bits, as instruções são de 8 bits e a quantidade de memoria mapeable é. Também são definidas as ações que conhecera o processador como fetch, decode, add, load, jump, etc. As unicas entradas são o reset e o clock. Depois definimos o lugar onde vai ser armazenado os programas que o processador devera executar, e para isso criamos uma memoria com dados de 16 bits e com uma capacidade de 256 endereços. 10

11 Nas seguintes duas imagens, se detalha o funcionamento dos estados essenciais do processador como o fetch, decode e execute e é desenvolvido as ações de cada instrução da linguagem ensamblador que o processador vai conhecer. 11

12 Finalmente se adiciona as ações que tem que ser realizadas depois de uma mudança de estado o atualização do IR ou do PC para preparar os dados para o próximo clock. 3. Compilar pressionando Ctrl + L. Para conhecer melhor seu funcionamento podemos observar sua diagrama esquema clickeando sobre Tools -> Netlist Viewers -> RTL Viewers 4. Criamos um Diagrama de Bloques e utilizamos nosso circuito através de um simbolo o paquete. Para utilizar nosso circuito selecionamos Arquivo -> novo -> Diagrama de bloque. Logo fazer dois click na área de trabalho e aparecera uma ventana onde pode-se procurar os componentes que precisamos Para que nosso circuito este dentro dos componentes do projeto devemos primeiro selecionar a opção File -> Create Update.. -> Create Symbol for Current File e será agregado á carpeta Proyect. Movendo os componentes e juntando-los devera ficar assim: 12

13 Se coloco 4 controladores de display de 7 segmentos para presentar os resultados dentro do registrador AC. 5. Colocar nomes aos pinos Para colocar os nomes aos pinos, deve selecionar só uma entrada ou saída e colocar seu nome correto para o sistema. Para nosso trabalho os pinos de entrada são sw[numero] e para as saídas LEDG[numero] para dar nome aos LEDs verdes da placa. 6. Cargar arquivo dos pinos Para que o software identifique a placa que estamos utilizando temos que cargar o arquivo de pinos, então selecionamos a opção Assignments -> Importar assignments, logo procuramos nosso arquivos de pinos dentro de nossa computadora e pressionamos aceitar. Compilamos de novo, como no passo Inicializar a memoria com um programa Podemos inicializar a memoria já seja utilizando a interface gráfica fornecida por Altera no programa Quartus II o bem escrevendo os dados em código no formato presentado na figura da direta: 13

14 Inicialização a través da interfaz grafica do Quartus II Inicialização a traves do código 6. Simulação do circuito Para simular o circuito devemos seguir os seguintes passos: Ir para file -> novo -> vector waveform Insertar as entradas e saídas fazendo click direito sobre a pantalha de simulação e logo pressionar a opção insertar, uma vez aqui, pressionamos listar e agregar todos os pinos. E aceitar. Apos isso, agregamos as sequência de entradas com respeito ao tempo para o circuito, para isso selecionamos a ferramenta forma de onda e arrastre o mouse sobre a linha da entrada que desejamos trocar. Em nosso exemplo quedara assim: 14

15 As únicas duas portas de entrada são o relógio e o botão reset assim, que colocamos a entrada de reset em alto porque ele é uma entrada inversora. E configuramos a porta clock com a função onda de relógio na barra de ferramentas. Se analisamos as saídas da simulação observamos que cada três pulsos são executadas as operações da memoria (porque são os três pulso de clock precisados pelo processador para realizar as ações de fetch, decode e execute). O programa fez o seguinte Para a primeria instrução podemos observar que acontece o mesmo comportamento estudado no exemplo anterior, o reset coloca todos os registradores a cero, coloca no bus de MDR o valor da primeira instrução, no passo do fetch é cargado o IR com o valor de MDR e se incrementa o valor de PC. No seguinte passo se descodifica a instrução 02 10, é dizer, se deixa MDA puntando ao valor do endereço 10 e no seguinte estado se carga o valor AAAA no AC como é possível observar na saída AC_register que esta conectado a los Displays. E assim o processo se repite para cada instrução até que o processador não encontra uma instrução conhecida o chega ao final do programa. De novo é interessante notar que durante o final de cada passo, o registrador MDA muda para o valor que vai ser necessário no seguinte passo. 7. Executar desenho na placa. Finalmente para executar nosso desenho dentro da placa temos que selecionar a opção ferramentas -> programar. Selecionar nossa placa se estão instalados os drivers da placa. 15

16 Se não temos que ir para administradão de dispositivos -> atualizar drivers do dispositivos desconhecido -> procurar o diretório onde estão os drivers da placa (estos são baixado da página web do fornecedor da placa ) -> aceitamos. Executamos o projeto, testamos se esta tudo bem. 16

17 Conclusão Durante esta última prática se presento o funcionamento do elemento mais importante e poderoso de um computador, o processador, ele qual da a capacidade de realizar qualquer tipo de instrução complexa utilizando as operações básicas do processador em simples 3 passos (fetch, decode e execute). Para este projeto se utilizo todos os conceitos estudados nas praticas anteriores, ALU, Bloque de Memoria, Maquinas de Estados, circuitos sequenciais, clock, uso de displays 7 segmentos, bibliotecas de funções fornecidas pela Altera. Todo desenhado no linguagem de descripção de Hardware Verilog. Finalmente se observo o comportamento do processador para um programa que carga, suma, guarda os resultados, e os processos foram presentados a traves de LED y displays. Bibliografia no dia

Disciplina: Laboratório de Circuitos Digitais

Disciplina: Laboratório de Circuitos Digitais Universidade Federal de São Carlos Disciplina: Laboratório de Circuitos Digitais Prof. Dr. Emerson Carlos Pedrino 3ª Prática: Rotação de uma palavra nos Displays de 7 segmentos Data: 10/04/2014 Nome: Adrián

Leia mais

Disciplina: Laboratório de Circuitos Digitais

Disciplina: Laboratório de Circuitos Digitais Universidade Federal de São Carlos Disciplina: Laboratório de Circuitos Digitais Prof. Dr. Emerson Carlos Pedrino 7ª Prática: Introdução à Verilog-HDL e á Lógica Sequencial Data: 22/05/2014 Nome: Adrián

Leia mais

Disciplina: Laboratório de Circuitos Digitais. 2ª Prática: Decodificador Display 7 Segmentos

Disciplina: Laboratório de Circuitos Digitais. 2ª Prática: Decodificador Display 7 Segmentos Universidade Federal de São Carlos Disciplina: Laboratório de Circuitos Digitais Prof. Dr. Emerson Carlos Pedrino 2ª Prática: Decodificador Display 7 Segmentos Data: 27/03/2014 Nome: Adrián Agüero Faraldo

Leia mais

O processador é composto por: Unidade de controlo - Interpreta as instruções armazenadas; - Dá comandos a todos os elementos do sistema.

O processador é composto por: Unidade de controlo - Interpreta as instruções armazenadas; - Dá comandos a todos os elementos do sistema. O processador é composto por: Unidade de controlo - Interpreta as instruções armazenadas; - Dá comandos a todos os elementos do sistema. Unidade aritmética e lógica - Executa operações aritméticas (cálculos);

Leia mais

ARQUITETURA DE COMPUTADORES

ARQUITETURA DE COMPUTADORES 1 ARQUITETURA DE COMPUTADORES U C P Prof. Leandro Coelho Plano de Aula 2 Aula Passada Definição Evolução dos Computadores Histórico Modelo de Von-Neumann Básico CPU Mémoria E/S Barramentos Plano de Aula

Leia mais

Introdução à Engenharia de Computação

Introdução à Engenharia de Computação Introdução à Engenharia de Computação Tópico: Organização Básica de um Computador Digital Introdução à Engenharia de Computação 2 Componentes de um Computador Computador Eletrônico Digital É um sistema

Leia mais

2. A influência do tamanho da palavra

2. A influência do tamanho da palavra 1. Introdução O processador é o componente vital do sistema de computação, responsável pela realização das operações de processamento (os cálculos matemáticos etc.) e de controle, durante a execução de

Leia mais

Organização de Computadores Como a informação é processada?

Organização de Computadores Como a informação é processada? Curso de ADS/DTEE/IFBA Organização de Computadores Como a informação é processada? Prof. Antonio Carlos Referências Bibliográficas: 1. Ciência da Computação: Uma visão abrangente - J.Glenn Brokshear 2.

Leia mais

ULA Sinais de Controle enviados pela UC

ULA Sinais de Controle enviados pela UC Solução - Exercícios Processadores 1- Qual as funções da Unidade Aritmética e Lógica (ULA)? A ULA é o dispositivo da CPU que executa operações tais como: Adição Subtração Multiplicação Divisão Incremento

Leia mais

Manual de Instalação da Plataforma Scorpion. 1. Como conectar a Plataforma Scorpion com a Xilinx Starter-3E kit

Manual de Instalação da Plataforma Scorpion. 1. Como conectar a Plataforma Scorpion com a Xilinx Starter-3E kit Manual de Instalação da Plataforma Scorpion 1. Como conectar a Plataforma Scorpion com a Xilinx Starter-3E kit Para começar a ler este manual, é bom que você conheça os componentes desta conexão. Plataforma

Leia mais

Figura 01 Visão Geral da Placa

Figura 01 Visão Geral da Placa 1. Hardware O kit de FPGA é formado por periféricos que possibilitam sua interação com sinais de áudio, display gráfico e alfanumérico, comunicação serial e USB, codec de áudio, chaves para simulação e

Leia mais

Introdução à Engenharia de Computação

Introdução à Engenharia de Computação Introdução à Engenharia de Computação Tópico: O Computador como uma Máquina Multinível (cont.) José Gonçalves - LPRM/DI/UFES Introdução à Engenharia de Computação Máquina Multinível Moderna Figura 1 Máquina

Leia mais

Unidade Central de Processamento (CPU) Processador. Renan Manola Introdução ao Computador 2010/01

Unidade Central de Processamento (CPU) Processador. Renan Manola Introdução ao Computador 2010/01 Unidade Central de Processamento (CPU) Processador Renan Manola Introdução ao Computador 2010/01 Componentes de um Computador (1) Computador Eletrônico Digital É um sistema composto por: Memória Principal

Leia mais

O diagrama ASM contém dois elementos básicos: o bloco de estado e o bloco de decisão.

O diagrama ASM contém dois elementos básicos: o bloco de estado e o bloco de decisão. 14 3.2 Projeto da Unidade de Controle (VHDL) 3.2.1 Diagrama ASM (Algorithmic State Machine) ASM é um fluxograma através do qual se representa a seqüência de ações que a unidade de controle de um sistema

Leia mais

O processador é um dos elementos componentes do computador moderno, sendo responsável pelo gerenciamento de todo o computador.

O processador é um dos elementos componentes do computador moderno, sendo responsável pelo gerenciamento de todo o computador. Resumo 01 O que é um processador? O processador é um dos elementos componentes do computador moderno, sendo responsável pelo gerenciamento de todo o computador. Os processadores atualmente são encapsulados

Leia mais

Componentes do Computador e. aula 3. Profa. Débora Matos

Componentes do Computador e. aula 3. Profa. Débora Matos Componentes do Computador e modelo de Von Neumann aula 3 Profa. Débora Matos O que difere nos componentes que constituem um computador? Princípios básicos Cada computador tem um conjunto de operações e

Leia mais

Aula 14: Instruções e Seus Tipos

Aula 14: Instruções e Seus Tipos Aula 14: Instruções e Seus Tipos Diego Passos Universidade Federal Fluminense Fundamentos de Arquiteturas de Computadores Diego Passos (UFF) Instruções e Seus Tipos FAC 1 / 35 Conceitos Básicos Diego Passos

Leia mais

AULA: Introdução à informática Computador Digital

AULA: Introdução à informática Computador Digital Campus Muriaé Professor: Luciano Gonçalves Moreira Disciplina: Informática Aplicada AULA: Introdução à informática Computador Digital Componentes de um computador digital : Hardware Refere-se às peças

Leia mais

Disciplina: Introdução à Informática Profª Érica Barcelos

Disciplina: Introdução à Informática Profª Érica Barcelos Disciplina: Introdução à Informática Profª Érica Barcelos CAPÍTULO 4 1. ARQUITETURA DO COMPUTADOR- HARDWARE Todos os componentes físicos constituídos de circuitos eletrônicos interligados são chamados

Leia mais

Arquitetura de processadores: RISC e CISC

Arquitetura de processadores: RISC e CISC Arquitetura de processadores: RISC e CISC A arquitetura de processador descreve o processador que foi usado em um computador. Grande parte dos computadores vêm com identificação e literatura descrevendo

Leia mais

Organização e Arquitetura de Computadores I

Organização e Arquitetura de Computadores I Organização e Arquitetura de Computadores I Caminho de Dados Slide 1 Sumário Introdução Convenções Lógicas de Projeto Construindo um Caminho de Dados O Controle da ULA Projeto da Unidade de Controle Principal

Leia mais

ARQUITETURA DE COMPUTADORES - 1866

ARQUITETURA DE COMPUTADORES - 1866 7 Unidade Central de Processamento (UCP): O processador é o componente vital do sistema de computação, responsável pela realização das operações de processamento e de controle, durante a execução de um

Leia mais

Introdução à Arquitetura de Computadores

Introdução à Arquitetura de Computadores 1 Introdução à Arquitetura de Computadores Hardware e software Organização de um computador: Processador: registradores, ALU, unidade de controle Memórias Dispositivos de E/S Barramentos Linguagens de

Leia mais

DIMENSÕES (Essas dimensões são válidas para todos os modelos com o mesmo número de entradas e de saídas):

DIMENSÕES (Essas dimensões são válidas para todos os modelos com o mesmo número de entradas e de saídas): INSTALAÇÃO E OPERAÇÃO DO MILLENIUM 3 2009 DIMENSÕES (Essas dimensões são válidas para todos os modelos com o mesmo número de entradas e de saídas): 1 Partes para fixação retráteis 2 Terminais da alimentação

Leia mais

Circuitos de Memória: Tipos e Funcionamento. Fabrício Noveletto

Circuitos de Memória: Tipos e Funcionamento. Fabrício Noveletto Circuitos de Memória: Tipos e Funcionamento Fabrício Noveletto Memória de semicondutores São dispositivos capazes de armazenar informações digitais. A menor unidade de informação que pode ser armazenada

Leia mais

Computador E/S, Memória, Barramento do sistema e CPU Onde a CPU Registradores, ULA, Interconexão interna da CPU e Unidade de controle.

Computador E/S, Memória, Barramento do sistema e CPU Onde a CPU Registradores, ULA, Interconexão interna da CPU e Unidade de controle. Introdução Os principais elementos de um sistema de computação são a unidade central de processamento (central processing unit CPU), a memória principal, o subsistema de E/S (entrada e saída) e os mecanismos

Leia mais

Tais operações podem utilizar um (operações unárias) ou dois (operações binárias) valores.

Tais operações podem utilizar um (operações unárias) ou dois (operações binárias) valores. Tais operações podem utilizar um (operações unárias) ou dois (operações binárias) valores. 7.3.1.2 Registradores: São pequenas unidades de memória, implementadas na CPU, com as seguintes características:

Leia mais

Dispositivos Lógicos Programáveis

Dispositivos Lógicos Programáveis PARTE 1 - TEORIA Dispositivos Lógicos Programáveis Os dispositivos lógicos programáveis (PLD Programmable Logic Device) são circuitos integrados programáveis, que possuem um grande número de portas lógicas,

Leia mais

BARRAMENTO DO SISTEMA

BARRAMENTO DO SISTEMA BARRAMENTO DO SISTEMA Memória Principal Processador Barramento local Memória cachê/ ponte Barramento de sistema SCSI FireWire Dispositivo gráfico Controlador de vídeo Rede Local Barramento de alta velocidade

Leia mais

Sistemas Microcontrolados

Sistemas Microcontrolados Sistemas Microcontrolados Uma Abordagem com o Microcontrolador PIC 16F84 Nardênio Almeida Martins Novatec Editora Capítulo 1 Introdução Os microcontroladores estão presentes em quase tudo o que envolve

Leia mais

PASSO A PASSO COMO CRIAR UM NOVO PROJETO EM SCHEMATIC NO SOFTWARE QUARTUS II

PASSO A PASSO COMO CRIAR UM NOVO PROJETO EM SCHEMATIC NO SOFTWARE QUARTUS II PASSO A PASSO COMO CRIAR UM NOVO PROJETO EM SCHEMATIC NO SOFTWARE QUARTUS II 1) Após abrir o quartus II, clique em CREATE A NEW PROJECT (tela abaixo). Se estiver utilizando windows 7, execute como administrador.

Leia mais

Arquitetura de Computadores Paralelismo, CISC X RISC, Interpretação X Tradução, Caminho de dados

Arquitetura de Computadores Paralelismo, CISC X RISC, Interpretação X Tradução, Caminho de dados Arquitetura de Computadores Paralelismo, CISC X RISC, Interpretação X Tradução, Caminho de dados Organização de um Computador Típico Memória: Armazena dados e programas. Processador (CPU - Central Processing

Leia mais

3.a Aula Prática Projeto de Circuitos Sequenciais com FPGA. Projeto e simulação de um gerador de frequência

3.a Aula Prática Projeto de Circuitos Sequenciais com FPGA. Projeto e simulação de um gerador de frequência 3.a Aula Prática Projeto de Circuitos Sequenciais com FPGA Prof. Cesar da Costa Projeto e simulação de um gerador de frequência Muitas vezes, várias frequências de clock são necessárias em um circuito

Leia mais

Software Básico. Conceito de Linguagem de Máquina e Montagem: introdução ao Assembly. Prof. MSc. Hugo Vieira L. Souza

Software Básico. Conceito de Linguagem de Máquina e Montagem: introdução ao Assembly. Prof. MSc. Hugo Vieira L. Souza Software Básico Conceito de Linguagem de Máquina e Montagem: introdução ao Assembly Prof. MSc. Hugo Vieira L. Souza Este documento está sujeito a copyright. Todos os direitos estão reservados para o todo

Leia mais

BACHARELADO EM SISTEMAS DE INFORMAÇÃO EaD UAB/UFSCar Sistemas de Informação - prof. Dr. Hélio Crestana Guardia

BACHARELADO EM SISTEMAS DE INFORMAÇÃO EaD UAB/UFSCar Sistemas de Informação - prof. Dr. Hélio Crestana Guardia O Sistema Operacional que você usa é multitasking? Por multitasking, entende-se a capacidade do SO de ter mais de um processos em execução ao mesmo tempo. É claro que, num dado instante, o número de processos

Leia mais

Conjunto de instruções do CPU. Arquitectura de um computador. Definição das instruções (1) Definição das instruções (2)

Conjunto de instruções do CPU. Arquitectura de um computador. Definição das instruções (1) Definição das instruções (2) Arquitectura de um computador Caracterizada por: Conjunto de instruções do processador (ISA Estrutura interna do processador (que registadores existem, etc Modelo de memória (dimensão endereçável, alcance

Leia mais

Memórias. O que são Memórias de Semicondutores? São componentes capazes de armazenar informações Binárias (0s e 1s)

Memórias. O que são Memórias de Semicondutores? São componentes capazes de armazenar informações Binárias (0s e 1s) Memórias O que são Memórias de Semicondutores? São componentes capazes de armazenar informações Binárias (0s e 1s) Essas informações são guardadas eletricamente em células individuais. Chamamos cada elemento

Leia mais

Montagem e Manutenção. Luís Guilherme A. Pontes

Montagem e Manutenção. Luís Guilherme A. Pontes Montagem e Manutenção Luís Guilherme A. Pontes Introdução Qual é a importância da Montagem e Manutenção de Computadores? Sistema Binário Sistema Binário Existem duas maneiras de se trabalhar e armazenar

Leia mais

Capítulo 13 Pastas e Arquivos

Capítulo 13 Pastas e Arquivos Capítulo 13 Pastas e Arquivos À medida que a tecnologia avança, os dispositivos móveis vão ganhando cada vez mais funções e características que antes só pertenciam aos computadores pessoais. Com a expansão

Leia mais

Memória cache. Prof. Francisco Adelton

Memória cache. Prof. Francisco Adelton Memória cache Prof. Francisco Adelton Memória Cache Seu uso visa obter uma velocidade de acesso à memória próxima da velocidade das memórias mais rápidas e, ao mesmo tempo, disponibilizar no sistema uma

Leia mais

1- Scilab e a placa Lab_Uino. 2- Instalação do ToolBox

1- Scilab e a placa Lab_Uino. 2- Instalação do ToolBox 1- Scilab e a placa Lab_Uino A placa Lab_Uino, é uma plataforma aberta para aprendizagem de sistemas microprocessados. Com a utilização de um firmware especifico a placa Lab_Uino é reconhecido pelo sistema

Leia mais

Sistemas Digitais. Módulo 15 Prof. Celso PLD - DISPOSITIVOS LÓGICOS PROGRAMÁVEIS

Sistemas Digitais. Módulo 15 Prof. Celso PLD - DISPOSITIVOS LÓGICOS PROGRAMÁVEIS 1 PLD - DISPOSITIVOS LÓGICOS PROGRAMÁVEIS Os projetos com circuitos digitais mais complexos podem se tornar inviáveis devido a vários problemas, tais como: - Elevado número de C.I. (circuitos integrados)

Leia mais

Organização Básica do Computador

Organização Básica do Computador Organização Básica do Computador Modelo de Von Neumann MEMÓRIA ENTRADA ARITMÉTICA LÓGICA SAÍDA CONTROLE Modelo de Von Neumann Introduziu o conceito do computador controlado por programa armazenado. Todo

Leia mais

Introdução à estrutura e funcionamento de um Sistema Informático

Introdução à estrutura e funcionamento de um Sistema Informático Introdução à estrutura e funcionamento de um Sistema Informático Elementos que constituem o Computador O funcionamento do computador é possível devido aos vários elementos interligados que o constituem:

Leia mais

Ambiente de desenvolvimento de Programação Assembly MCU 8051 IDE

Ambiente de desenvolvimento de Programação Assembly MCU 8051 IDE SEL-433 APLICAÇÕES DE MICROPROCESSADORES I Prof. Evandro L. L. Rodrigues Ambiente de desenvolvimento de Programação Assembly MCU 8051 IDE http://mcu8051ide.sourceforge.net/ Tela inicial Criar novo projeto:

Leia mais

ORGANIZAÇÃO BÁSICA DE COMPUTADORES E LINGUAGEM DE MONTAGEM

ORGANIZAÇÃO BÁSICA DE COMPUTADORES E LINGUAGEM DE MONTAGEM Linguagem de programação Linguagem de Alto Nível próximo ao ser humano, escrita de forma textual. Ex: if (a==b) a=b+c; Linguagem de Montagem (Assembly) próximo à linguagem de máquina, escrita em códigos

Leia mais

UNIVERSIDADE FEDERAL DO RIO GRANDE DO NORTE - UFRN DEPARTAMENTO DE INFORMÁTICA E MATEMÁTICA APLICADA DIMAP

UNIVERSIDADE FEDERAL DO RIO GRANDE DO NORTE - UFRN DEPARTAMENTO DE INFORMÁTICA E MATEMÁTICA APLICADA DIMAP UNIVERSIDADE FEDERAL DO RIO GRANDE DO NORTE - UFRN DEPARTAMENTO DE INFORMÁTICA E MATEMÁTICA APLICADA DIMAP Disciplina: DIM0403 Laboratório de Circuitos Lógicos Professor: Sílvio Fernandes 08 Introdução

Leia mais

IDENTIFICAÇÃO MANUTENÇÃO

IDENTIFICAÇÃO MANUTENÇÃO IDENTIFICAÇÃO MANUTENÇÃO ESTRUTURA DOS MICROS PADRÃO PC AULA 01 Sobre aula 1 Números binários e hexadecimais Dispositivos digitais e analógicos Circuitos integrados Estrutura dos micros padrão PC Micros

Leia mais

Introdução à Arquitetura de Computadores IFES Campus Serra

Introdução à Arquitetura de Computadores IFES Campus Serra Os computadores atuais possuem seis ou mais níveis de máquinas conforme podemos observar no quadro abaixo Nível de linguagem orientada para problemas Nível de linguagem de montagem Nível de sistema operacional

Leia mais

Conceitos e Evolução Capítulos 1 e 2

Conceitos e Evolução Capítulos 1 e 2 Aula 2 ARQUITETURA DE COMPUTADORES Conceitos e Evolução Capítulos 1 e 2 Prof. Osvaldo Mesquita E-mail: oswaldo.mesquita@gmail.com 1/48 CONTEÚDO DA AULA Conceitos Importantes O que é arquitetura de computadores?

Leia mais

Sistemas Computacionais II Professor Frederico Sauer

Sistemas Computacionais II Professor Frederico Sauer Sistemas Computacionais II Professor Frederico Sauer Livro-texto: Introdução à Organização de Computadores 4ª edição Mário A. Monteiro Livros Técnicos e Científicos Editora. Atenção: Este material não

Leia mais

Introdução à Organização e Arquitetura de Computadores. Prof. Leonardo Barreto Campos 1

Introdução à Organização e Arquitetura de Computadores. Prof. Leonardo Barreto Campos 1 Introdução à Organização e Arquitetura de Computadores Prof. Leonardo Barreto Campos 1 Sumário Introdução; Evolução dos Computadores; Considerações da Arquitetura de von Neumann; Execução de uma instrução

Leia mais

Informática I. Aula 4. http://www.ic.uff.br/~bianca/informatica1/ Aula 4-11/09/2006 1

Informática I. Aula 4. http://www.ic.uff.br/~bianca/informatica1/ Aula 4-11/09/2006 1 Informática I Aula 4 http://www.ic.uff.br/~bianca/informatica1/ Aula 4-11/09/2006 1 Ementa Histórico dos Computadores Noções de Hardware e Software Microprocessadores Sistemas Numéricos e Representação

Leia mais

Mapeamento de memória e programação da IHM do controlador CP-WS41/8DO8DI4AO2AI2TAI

Mapeamento de memória e programação da IHM do controlador CP-WS41/8DO8DI4AO2AI2TAI Comércio e Manutenção de Produtos Eletrônicos Manual CP-WS1 Mapeamento de memória e programação da IHM do controlador CP-WS41/8DO8DI4AO2AI2TAI PROXSYS Versão 1.0 Março-2013 Controlador Industrial CP-WS1

Leia mais

2 Formalidades referentes ao trabalho

2 Formalidades referentes ao trabalho Bacharelado em Ciência da Computação DINF / UFPR Projetos Digitais e Microprocessadores 1 o Semestre de 2006 MICO-v12.r0 07/03/2006 Profs. Luis Allan Künzle e Armando Luiz Nicolini Delgado Atenção: Este

Leia mais

Computador Digital Circuitos de um computador (Hardware)

Computador Digital Circuitos de um computador (Hardware) Computador Digital SIS17 - Arquitetura de Computadores (Parte I) Máquina que pode resolver problemas executando uma série de instruções que lhe são fornecidas. Executa Programas conjunto de instruções

Leia mais

ORGANIZACÃO DE COMPUTADORES PROCESSADORES CHIPS TRANSISTORES

ORGANIZACÃO DE COMPUTADORES PROCESSADORES CHIPS TRANSISTORES PROCESSADORES CHIPS TRANSISTORES O que é um chip? - conhecido como circuito integrado; - pequeno e fino pedaço de silício no qual os transistores, que formam o microprocessador, foram encapsulados; - processadores

Leia mais

Modos de entrada/saída

Modos de entrada/saída Arquitectura de Computadores II Engenharia Informática (11545) Tecnologias e Sistemas de Informação (6621) Modos de entrada/saída Fonte: Arquitectura de Computadores, José Delgado, IST, 2004 Nuno Pombo

Leia mais

Manual de instalação do Cartão da OAB e baixa do certificado A3 AR Soluti - Goiânia. Versão 1.0 de 22 de setembro de 2014. Classificação: Ostensivo

Manual de instalação do Cartão da OAB e baixa do certificado A3 AR Soluti - Goiânia. Versão 1.0 de 22 de setembro de 2014. Classificação: Ostensivo Manual de instalação do Cartão da OAB e baixa do AR Soluti - Goiânia Catalogação do Documento Titulo Manual de instalação do Cartão da OAB e baixa do Classificação Versão 1.0 de 22 de setembro de 2014

Leia mais

Organização e Arquitetura de Computadores I

Organização e Arquitetura de Computadores I Organização e Arquitetura de Computadores I Pipeline Slide 1 Pipeline Pipeline Hazards: Hazards Estruturais Hazards de Dados Hazards de Controle Organização e Arquitetura de Computadores I Caminho de Dados

Leia mais

O EDITOR DE APRESENTAÇÕES POWER POINT

O EDITOR DE APRESENTAÇÕES POWER POINT O EDITOR DE APRESENTAÇÕES POWER POINT O Power Point é um poderoso editor de apresentações muito utilizado quando for preciso fazer a exibição de trabalhos, projetos, aulas e afins em slides coloridos e

Leia mais

Paralelismo a Nível de Instrução

Paralelismo a Nível de Instrução Paralelismo a Nível de Instrução É possível obter maior desempenho computacional com: tecnologias mais avançadas, tais como circuitos mais rápidos; melhor organização da CPU, tais como o uso de múltiplos

Leia mais

Ao longo do presente capítulo será apresentada uma descrição introdutória da tecnologia FPGA e dos módulos básicos que a constitui.

Ao longo do presente capítulo será apresentada uma descrição introdutória da tecnologia FPGA e dos módulos básicos que a constitui. 3 Tecnologia FPGA Ao longo do presente capítulo será apresentada uma descrição introdutória da tecnologia FPGA e dos módulos básicos que a constitui. 3.1. FPGA: Histórico, linguagens e blocos Muitos dos

Leia mais

Curso Superior de Sistemas de Telecomunicações Unidade São José. Disciplina: Síntese de Sistemas de Telecomunicações 7º Fase

Curso Superior de Sistemas de Telecomunicações Unidade São José. Disciplina: Síntese de Sistemas de Telecomunicações 7º Fase Curso Superior de Sistemas de Telecomunicações Unidade São José Disciplina: Síntese de Sistemas de Telecomunicações 7º Fase Bases tecnológicas Dispositivos Lógicos Programáveis. Introdução à Tecnologia

Leia mais

Sistemas Operacionais Aula 2

Sistemas Operacionais Aula 2 Sistemas Operacionais Aula 2 Anderson L. S. Moreira anderson.moreira@recife.ifpe.edu.br http://dase.ifpe.edu.br/~alsm Curso de Análise e Desenvolvimento de Sistemas de Informação Recife - PE 1/38 O que

Leia mais

Sistemas Operacionais. Prof. André Y. Kusumoto andrekusumoto.unip@gmail.com

Sistemas Operacionais. Prof. André Y. Kusumoto andrekusumoto.unip@gmail.com Sistemas Operacionais Prof. André Y. Kusumoto andrekusumoto.unip@gmail.com Estruturas de Sistemas Operacionais Um sistema operacional fornece o ambiente no qual os programas são executados. Internamente,

Leia mais

MODELAGEM E SIMULAÇÃO

MODELAGEM E SIMULAÇÃO MODELAGEM E SIMULAÇÃO Professor: Dr. Edwin B. Mitacc Meza edwin@engenharia-puro.com.br www.engenharia-puro.com.br/edwin Terminologia Básica Utilizada em de Sistemas Terminologia Básica Uma série de termos

Leia mais

Introdução à Arquitetura de Computadores. Renan Manola Introdução ao Computador 2010/01

Introdução à Arquitetura de Computadores. Renan Manola Introdução ao Computador 2010/01 Introdução à Arquitetura de Computadores Renan Manola Introdução ao Computador 2010/01 Introdução Conceitos (1) Computador Digital É uma máquina que pode resolver problemas executando uma série de instruções

Leia mais

ORGANIZAÇÃO DE COMPUTADORES MÓDULO 1

ORGANIZAÇÃO DE COMPUTADORES MÓDULO 1 ORGANIZAÇÃO DE COMPUTADORES MÓDULO 1 Índice 1. Introdução...3 1.1. O que é um Computador?... 3 1.2. Máquinas Multiníveis... 3 2 1. INTRODUÇÃO 1.1 O QUE É UM COMPUTADOR? Para estudarmos como um computador

Leia mais

FACULDADE PITÁGORAS DISCIPLINA: ARQUITETURA DE COMPUTADORES

FACULDADE PITÁGORAS DISCIPLINA: ARQUITETURA DE COMPUTADORES FACULDADE PITÁGORAS DISCIPLINA: ARQUITETURA DE COMPUTADORES Prof. Ms. Carlos José Giudice dos Santos cpgcarlos@yahoo.com.br www.oficinadapesquisa.com.br Conceito de Computador Um computador digital é

Leia mais

2.1 Montando o cabo serial... 4 2.2 Conectando o receptor ao PC... 5 2.3 Instalando o programa (DRU)... 5

2.1 Montando o cabo serial... 4 2.2 Conectando o receptor ao PC... 5 2.3 Instalando o programa (DRU)... 5 1 SUMÁRIO 1. Introdução... 3 2. Instalação... 4 2.1 Montando o cabo serial... 4 2.2 Conectando o receptor ao PC... 5 2.3 Instalando o programa (DRU)... 5 3. Atualizando o receptor... 8 3.1 Qual o software

Leia mais

Características técnicas Baseado no ATMega da empresa AVR, fabricante de micro-controladores em plena ascensão e concorrente do PIC Pode usar ATMega

Características técnicas Baseado no ATMega da empresa AVR, fabricante de micro-controladores em plena ascensão e concorrente do PIC Pode usar ATMega ARDUINO O que é Arduino Arduino foi criado na Itália por Máximo Banzi com o objetivo de fomentar a computação física, cujo conceito é aumentar as formas de interação física entre nós e os computadores.

Leia mais

CENTRAL PRCESSING UNIT

CENTRAL PRCESSING UNIT Processador O processador, também chamado de CPU ( CENTRAL PRCESSING UNIT) é o componente de hardware responsável por processar dados e transformar em informação. Ele também transmite estas informações

Leia mais

Estrutura de um Computador

Estrutura de um Computador SEL-0415 Introdução à Organização de Computadores Estrutura de um Computador Aula 7 Prof. Dr. Marcelo Andrade da Costa Vieira MODELO DE VON NEUMANN PRINCÍPIOS A arquitetura de um computador consiste de

Leia mais

MANUAL DE INSTRUÇÕES DEMARCADOR RODOVIÁRIO

MANUAL DE INSTRUÇÕES DEMARCADOR RODOVIÁRIO MANUAL DE INSTRUÇÕES DEMARCADOR RODOVIÁRIO MDMR-3P/III 1 Equipamento Fabricado por: SENSORES INDUSTRIAIS MAKSEN LTDA Rua José Alves, 388 Mogi Guaçu - SP CNPJ 04.871.530/0001-66 I.E. 455.095.131.110 www.maksen.com.br

Leia mais

Cerne Tecnologia e Treinamento (21) 4063-9798 (11) 4063-1877 E-mail: cerne@cerne-tec.com.br MSN: cerne-tec@hotmail.com Skipe: cerne-tec

Cerne Tecnologia e Treinamento (21) 4063-9798 (11) 4063-1877 E-mail: cerne@cerne-tec.com.br MSN: cerne-tec@hotmail.com Skipe: cerne-tec Cerne Tecnologia e Treinamento Tutorial para a USBCOM (21) 4063-9798 (11) 4063-1877 E-mail: cerne@cerne-tec.com.br MSN: cerne-tec@hotmail.com Skipe: cerne-tec www.cerne-tec.com.br Kits Didáticos e Gravadores

Leia mais

OFICINA DE POWER POINT

OFICINA DE POWER POINT OFICINA DE POWER POINT Barra de Ferramentas Padrão Barra de Ferramentas Formatação Barra de Menus Modos de Visualização Painéis de Tarefas Barra de Ferramentas Desenho Profª. Maria Adelina Raupp Sganzerla

Leia mais

Portal do Projeto Tempo de Ser

Portal do Projeto Tempo de Ser Sumário Portal do Projeto Tempo de Ser O que é um Wiki?...2 Documentos...2 Localizando documentos...3 Links...3 Criando um Documento...4 Criando um link...4 Editando um Documento...5 Sintaxe Básica...5

Leia mais

Medidor Powersave V2 USB

Medidor Powersave V2 USB Medidor Powersave V2 USB O medidor é formado por uma caixa plástica contendo uma placa eletrônica, uma tomada macho, uma tomada fêmea, um conector H, um barramento lateral, um conector USB e leds indicativos.

Leia mais

GUIA DE LABORATÓRIO DE SISTEMAS DIGITAIS PARA O CURSO DE ENGENHARIA DE CONTROLE E AUTOMAÇÃO

GUIA DE LABORATÓRIO DE SISTEMAS DIGITAIS PARA O CURSO DE ENGENHARIA DE CONTROLE E AUTOMAÇÃO GUIA DE LABORATÓRIO DE SISTEMAS DIGITAIS PARA O CURSO DE ENGENHARIA DE CONTROLE E AUTOMAÇÃO Agosto/2004 V2 INTRODUÇÃO Este guia foi preparado para auxilio às aulas de laboratório para o curso de Engenharia

Leia mais

LASERTECK SOFTECK FC MANUAL DO USUÁRIO

LASERTECK SOFTECK FC MANUAL DO USUÁRIO LASERTECK SOFTECK FC MANUAL DO USUÁRIO 2015 SUMÁRIO 1 INTRODUÇÃO... 3 2 REQUISITOS DO SISTEMA... 3 3 INSTALAÇÃO... 3 4 O QUE MUDOU... 3 5 COMO COMEÇAR... 4 6 FORMULÁRIOS DE CADASTRO... 5 7 CADASTRO DE

Leia mais

Comunicação Serial com o AVR ATMEGA8

Comunicação Serial com o AVR ATMEGA8 Comunicação Serial com o AVR ATMEGA8 Vitor Amadeu Souza vitor@cerne-tec.com.br Introdução Os microcontroladores AVR vem a cada dia tomando cada vez mais espaço nos novos projetos eletrônicos microcontrolados.

Leia mais

Passo 3: No diagrama de blocos com o menu de funções aberto (Figura anterior), abra a função express Signal Analysis e escolha Simulate signal.

Passo 3: No diagrama de blocos com o menu de funções aberto (Figura anterior), abra a função express Signal Analysis e escolha Simulate signal. TUTORIAL INTRODUÇÃO AO LABVIEW 8.2 Passo 1: Inicie o Labview. Você verá esta tela: Passo 2: Selecione blank VI (VI é a sigla de VIRTUAL INSTRUMENT). Fazendo isso você terá acesso a área de trabalho, a

Leia mais

CONCEITOS BÁSICOS DE UM SISTEMA OPERATIVO

CONCEITOS BÁSICOS DE UM SISTEMA OPERATIVO 4 CONCEITOS BÁSICOS DE UM SISTEMA OPERATIVO CONCEITOS BÁSICOS MS-DOS MICROSOFT DISK OPERATION SYSTEM INSTALAÇÃO E CONFIGURAÇÃO DE UM SISTEMA OPERATIVO LIGAÇÕES À INTERNET O que é um sistema operativo?

Leia mais

DECODIFICADOR DE DISPLAY DE 7 SEGMENTOS COM LATCH

DECODIFICADOR DE DISPLAY DE 7 SEGMENTOS COM LATCH UNIVERSIDADE FEDERAL DO PARANÁ DEPARTAMENTO DE ENGENHARIA ELÉTRICA DECODIFICADOR DE DISPLAY DE 7 SEGMENTOS COM LATCH Projeto para a matéria TE130 Projeto de Circuitos Integrados Digitais, ministrada pelo

Leia mais

Exercício Opcional: FAMILIARIZAÇÃO COM O SOFTWARE CIRCUIT MAKER 2000

Exercício Opcional: FAMILIARIZAÇÃO COM O SOFTWARE CIRCUIT MAKER 2000 Laboratório de Sistemas Digitais /0 Exercício Opcional: FAMILIARIZAÇÃO COM O SOFTWARE CIRCUIT MAKER 000 OBJETIVO Aprender a utilizar o Editor de Esquemáticos e o Simulador Lógico Criar e simular os esquemáticos

Leia mais

CentreWare Web 5.8.107 e superior Agosto de 2013 702P01949. Xerox CentreWare Web Identificar e Aplicar o Firmware Patch para Escanear

CentreWare Web 5.8.107 e superior Agosto de 2013 702P01949. Xerox CentreWare Web Identificar e Aplicar o Firmware Patch para Escanear CentreWare Web 5.8.107 e superior Agosto de 2013 702P01949 Identificar e Aplicar o Firmware Patch para Escanear 2013 Xerox Corporation. Todos os direitos reservados. Xerox, Xerox e Design, CentreWare,

Leia mais

Linguagem de Montagem Funcionamento de CPU e Assembly Rudimentar

Linguagem de Montagem Funcionamento de CPU e Assembly Rudimentar Componentes de um Computador (5) Linguagem de Montagem Funcionamento de CPU e Assembly Rudimentar Prof. João Paulo A. Almeida (jpalmeida@inf.ufes.br) 2007/01 - INF02597 Com slides de Roberta Lima Gomes

Leia mais

Organização de Computadores 1. Prof. Luiz Gustavo A. Martins

Organização de Computadores 1. Prof. Luiz Gustavo A. Martins Organização de Computadores 1 1 - INTRODUÇÃO Prof. Luiz Gustavo A. Martins Arquitetura Define os elementos que impactuam diretamente na execução lógica do programa. Corresponde aos atributos visíveis veis

Leia mais

Para criar uma nova apresentação: 1.Escolha a opção Apresentação em Branco Clique no botão Ok

Para criar uma nova apresentação: 1.Escolha a opção Apresentação em Branco Clique no botão Ok Microsoft P ow erp oint Apresentação Microsoft PowerPoint é utilizado para desenvolver apresentações. Os trabalhos desenvolvidos com este aplicativo normalmente são apresentados com o auxílio de um data

Leia mais

1. Introdução - contextos de aplicações

1. Introdução - contextos de aplicações Universidade Federal de Pelotas Sumário da Aula Fundamentos de Informática Aula 1 Noções sobre Informática, Hardware, Software e Plataformas Prof. Carlos R. Medeiros gil.medeiros@ufpel.edu.br Material

Leia mais

FPGA Field Programmable Gate Array

FPGA Field Programmable Gate Array Arquitetura de Computadores FPGA Field Programmable Gate Array Alex Vidigal Bastos Sumário Dispositivos Reconfiguráveis Field Programmable Gate Arrays Funcionamento Desenvolvimento Ferramentas Dispositivos

Leia mais

Arquitetura de Computadores Moderna

Arquitetura de Computadores Moderna Arquitetura de Computadores Moderna Eduardo Barrére (eduardo.barrere@ice.ufjf.br) DCC/UFJF Baseado no material do prof. Marcelo Lobosco Agenda Visão Geral Objetivos do Curso Ementa Bibliografia Avaliações

Leia mais

R O B Ó T I C A. Sensor Smart. Ultrassom. Versão Hardware: 1.0 Versão Firmware: 1.0 REVISÃO 1211.13. www.robouno.com.br

R O B Ó T I C A. Sensor Smart. Ultrassom. Versão Hardware: 1.0 Versão Firmware: 1.0 REVISÃO 1211.13. www.robouno.com.br R O B Ó T I C A Sensor Smart Ultrassom Versão Hardware: 1.0 Versão Firmware: 1.0 REVISÃO 1211.13 Sensor Smart Ultrassom 1. Introdução Os sensores de ultrassom ou ultrassônicos são sensores que detectam

Leia mais

Circuitos Digitais 144L

Circuitos Digitais 144L Circuitos Digitais Notas de Aula - 02 INSTITUTO: CURSO: DISCIPLINA: Instituto de Ciências Exatas e Tecnologia Ciência da Computação e Sistemas de Informação Circuitos Digitais 144L 1.0 Circuitos Combinacionais.

Leia mais

Desmistificando o Programa de Computador

Desmistificando o Programa de Computador Desmistificando o Programa de Computador Hoje vou explicar, da maneira mais simples possível, como funciona um programa de computador. Na sua essência um programa de computador nada mais é que uma coletânea

Leia mais

Princípios de funcionamento dos computadores

Princípios de funcionamento dos computadores Princípios de funcionamento dos computadores Objetivos da aula: - Entender o princípio de funcionamento dos computadores - Entender o conceito de programa e sua dinâmica de execução Tópicos da aula: -

Leia mais

Técnico/a de Refrigeração e Climatização

Técnico/a de Refrigeração e Climatização Técnico/a de Refrigeração e Climatização 1315 Eletricidade e eletrónica - programação de autómatos 2013/ 2014 Gamboa 1 Introdução Automação, estudo dos métodos e procedimentos que permitem a substituição

Leia mais