PROJETO DE CIRCUITOS INTEGRADOS VLSI

Documentos relacionados
PROJETO DE CIRCUITOS INTEGRADOS VLSI

Figura 01 Visão Geral da Placa

Desenvolvimento com a placa Altera DE2 Prof. Rodrigo de Paula Rodrigues

Instituto Tecnológico de Aeronáutica - ITA Divisão de Engenharia Eletrônica Departamento de Eletrônica Aplicada Laboratório de EEA-21

Sistemas Digitais. Tutorial Placa de Prototipação - Altera

SSC Projeto e Implementação de Sistemas Embarcados I

LABORATÓRIO DE ELETRÔNICA DIGITAL Experiência 9: Análise de Circuitos com Contadores

3.a Aula Prática Projeto de Circuitos Sequenciais com FPGA. Projeto e simulação de um gerador de frequência

Organização e Arquitetura de Computadores II

PIC18F4550. Curso Engenharia de Controle e Automação. Alex Vidigal Bastos alexvbh@gmail.com

Programação em BASIC para o PIC Mostrando Mensagens no Display LCD Vitor Amadeu Souza

Parallel to Serial Shifting IN

DISCIPLINA: Sistemas Digitais

Comunicação Serial com o AVR ATMEGA8

Projecto e Controlo em Lógica Digital

ELT601 Eletrônica Digital II Graduação em Engenharia Eletrônica

PROGRAMAÇÃO EM VHDL DE CIRCUITOS LÓGICOS PARA IMPLEMENTAÇÃO EM FPGA RELATÓRIO FINAL DE PROJETO DE INICIAÇÃO CIENTÍFICA

EPUSP PCS 2355 Laboratório Digital. Contadores em VHDL

LABORG. Parte 5 Projeto de um circuito digital de média complexidade Parte 2. Fernando Gehm Moraes Matheus Trevisan

Disciplina: Laboratório de Circuitos Digitais

Disciplina: Laboratório de Circuitos Digitais

Programação de CPLDs no ambiente ISE 4.2i da Xilinx

AULA 5 Aplicação com divisor de freqüência com o CI Livro Texto pág.197 a 200.

DESENVOLVIMENTO DE UMA BIBLIOTECA PARA COMUNICAÇÃO COM A CAMADA FÍSICA USB PADRÃO ULPI

Implementação de um módulo Ethernet 10/100Mbps com interface Avalon para o processador Nios II da Altera

Curso Superior de Sistemas de Telecomunicações Unidade São José. Disciplina: Síntese de Sistemas de Telecomunicações 7º Fase

UNIVERSIDADE FEDERAL DO RIO GRANDE DO NORTE - UFRN DEPARTAMENTO DE INFORMÁTICA E MATEMÁTICA APLICADA DIMAP

ELT601 Eletrônica Digital II

A idéia hardware sugerida é colocar a placa entre o PC e o microcontrolador, conforme mostrado no esquema abaixo.

Programação em BASIC para o PIC Projetos com Display Gráfico Vitor Amadeu Souza

Prototipação de Sistemas Digitais. Metodologia de Projetos Cristiano Araújo

- SISTEMAS DIGITAIS II

Circuitos Lógicos. Profa. Grace S. Deaecto. Faculdade de Engenharia Mecânica / UNICAMP , Campinas, SP, Brasil. grace@fem.unicamp.

Curso Superior de Sistemas de Telecomunicações Unidade São José. Disciplina: Síntese de Sistemas de Telecomunicações 7º Fase

CAPÍTULO 7 DECODIFICADORES

Medidor da temperatura local

Disciplina: Processamento Digital de Sinais (ENG577) Aula 05 Parte 2: Dispositivos de Hardware Programável Prof.: Eduardo Simas

DECODIFICADOR DE DISPLAY DE 7 SEGMENTOS COM LATCH

Implementação de um Sistema Digital em VHDL Cronômetro para Jogos de Basquete

Projeto Dirigido: Genius

Informática Aplicada

O diagrama ASM contém dois elementos básicos: o bloco de estado e o bloco de decisão.

Lab 3. Timing Timing Constraints Simulação

Ciclo de Seminários Técnicos

Profª Danielle Casillo

PROCESSO DE ENSINO-APRENDIZAGEM ATRAVÉS DE UM TRABALHO DE CONCLUSÃO DE CURSO

Introdução ao Projeto de Circuitos com Altera DE2. Edson Midorikawa. Setembro/2012. Altera DE2

PROJETO DE CIRCUITOS INTEGRADOS VLSI

Introdução ao Projeto de Sistemas Digitais com Dispositivos Programáveis

Desenvolvimento com a placa Altera DE1 Prof. Rodrigo de Paula Rodrigues

Introdução ao Projeto de Sistemas Digitais com Dispositivos Programáveis

LABORATÓRIO N.º 1 Uso do Módulo Digital e Iniciação a Eletrônica Digital

Os dispositivos lógicos programáveis (PLD Programmable Logic Device) são circuitos integrados programáveis pelo usuário, que

CONTROLE DE UM SERVO MOTOR

Projeto No. 11 Display de Leds de 7 Segmentos

Máquina de Estados. Transições Estados Em Verilog. Monitoria SD Daniel Alexandro/Reniê Delgado/Vanessa Ogg.

Fundamentos de Sistemas Digitais. Lógica Sequencial. Prof. Dr. Alexandre M. Amory Prof. Dr Edson I. Moreno

Máquinas de Estados Finitos. Aula 19 Prof. Abel Guilhermino

PROJETO. Ponte Digital. Luciano Daniel Amarante - carabina@pop.com.br Ricardo Watzko - rw@netuno.com.

Práticas de laboratório de Eletrônica Digital

ELT601 Eletrônica Digital II Graduação em Engenharia Eletrônica

UNIVERSIDADE FEDERAL DE SANTA CATARINA EEL5105 Circuitos e Técnicas Digitais Prof. Eduardo L. O. Batista

Disciplina: Laboratório de Circuitos Digitais

Organização e Arquitetura de Computadores I

EXPERIÊNCIA 2 PORTAS LÓGICAS BÁSICAS E UNIVERSAIS

Introdução ao Projeto de Sistemas Digitais com Dispositivos Programáveis

IMPLEMENTAÇÃO DE UM SISTEMA DE SELEÇÃO DE PEÇA USANDO CONCEITOS DE PROGRAMAÇÃO DE SISTEMA DE AUTOMAÇÃO. João Alvarez Peixoto*

Introdução ao Altera DE2. Edson Midorikawa

EA773 - Experimento 5

1 Título. 2 Objetivos. 3 - Fundamentos Teóricos. Página 1 de 5 Universidade Federal de Juiz de Fora. Prática 1 Aplicações das Funções Lógicas

UNIVERSIDADE DO ESTADO DE SANTA CATARINA - UDESC CENTRO DE CIÊNCIAS TECNOLÓGICAS CCT DEPARTAMENTO DE ENGENHARIA ELÉTRICA DEE

EA079 Laboratório de Micro e Minicomputadores: Hardware Laboratório III

SISTEMAS DIGITAIS CIRCUITOS SEQUENCIAIS BÁSICOS

Treinamento em Projeto de Sistemas Digitais


Cerne Tecnologia e Treinamento

SISTEMAS EMBARCADOS. Petiano: Yuri Gonzaga G. da Costa

Manual de Instruções para a Placa de Testes da FPGA Max3064A da Altera

Desenvolvimento de Módulo Wireless para Acionamento de Cargas via Porta Paralela

IMPLEMENTAÇÃO DE PROCESSADOR DIGITAL BASEADO EM LÓGICA FUZZY USANDO UM FPGA

INTRODUÇÃO AO DESENVOLVIMENTO DE SISTEMAS COM MICROCONTROLADORES

JAVA VIRTUAL MACHINE EM FPGA

ADC0804 (CONVERSOR A/D)

PROJETO DE CIRCUITOS INTEGRADOS VLSI

UNIVERSIDADE PRESBITERIANA MACKENZIE Decanato Acadêmico

DATA: HORÁRIO DE ENTRADA: HORÁRIO DE SAÍDA: BANCADA: NOMES DOS COMPONENTES DO GRUPO DE TRABALHO:

Mestrado em Engenharia Electrotécnica e de Computadores

MINISTÉRIO DA EDUCAÇÃO IFSC - Campus São José. CST em Telecomunicações ELETRÔNICA DIGITAL CONTADORES. Marcos Moecke

Departamento de Engenharia Electrotécnica e de Computadores Instituto Superior Técnico Universidade Técnica de Lisboa

MINISTÉRIO DA EDUCAÇÃO CEFET/SC - Unidade de São José. Curso Técnico em Telecomunicações CONTADORES. Marcos Moecke

Processadores. Prof. Alexandre Beletti Ferreira

Manual (versão 1.4) Kit 8051 Study

Cerne Tecnologia e Treinamento. cerne@cerne-tec.com.br MSN: cerne-tec@hotmail.com Skipe: cerne-tec.

Circuitos Digitais 1

EPUSP PCS 2011/2305/2355 Laboratório Digital. Displays

Introdução a Informática. Prof.: Roberto Franciscatto

Prof. Rômulo Calado Pantaleão Camara. Carga Horária: 2h/60h

Boletim Técnico R&D 03/08 CARACTERÍSTICAS DO DRIVER MPC6006L 14 de março de 2008

Instalação: permite baixar o pacote de instalação do agente de coleta do sistema.

Transcrição:

Universidade Federal do Piauí Centro de Tecnologia Curso de Engenharia Elétrica PROJETO DE CIRCUITOS INTEGRADOS VLSI Prototipagem Prof. Marcos Zurita zurita@ufpi.edu.br www.ufpi.br/zurita Teresina - 2013

Prototipagem de um Modelo HDL em FPGA Uma vez um sistema descrito em uma linguagem HDL foi simulado com êxito, o mesmo pode ser prototipado em FPGA. Para isto, o primeiro passo é associar cada terminal externo do modelo à um pino do FPGA escolhido. Geralmente, para a modelagem de um sistema VLSI, adota-se uma placa de desenvolvimento em FPGA cujas características se adequem ao sistema alvo. Conhecendo-se a placa de desenvolvimento adotada, a determinação dos pinos do FPGA a serem utilizados torna-se bastante simples, bastando para isso uma rápida análise do manual da placa. 2

Prototipagem Placa de Desenvolvimento Adotada Altera Nios II Development Kit - Stratix II Edition Ethernet USER I/O Stratix II FPGA EP2S60F672C5ES RS232 RS232 USER I/O Memória SRAM Memória FLASH FLASH Card MAX CPLD Memória DRAM USER LEDs USER Keys USER Displays 3

Associando Terminais do Modelo à Pinos do FPGA A associação dos terminais externos de um modelo HDL à pinos do FPGA no Quartus II pode ser feita basicamente de três formas: Utilizando o Pin Planner; Utilizando o Assignment Editor; Edição manual do arquivo.qsf. A fim de ilustrar as três formas possíveis de associação de pinos, considere o modelo a seguir: 4

Divisor de Clock O sistema abaixo consiste em um divisor do clock de entrada (50MHz) com sinalização visual: LEDs 0 à 3: correspondem ao clock de entrada dividido por 2 26 à 2 23, respectivamente; LEDs 4 à 7: correspondem ao estado das teclas user_pb[0] à user_pb[3], respectivamente. Vcc clock (50MHz) reset user_pb[0] user_pb[1] user_pb[2] user_pb[3] clk rst_n clock_divider ledg[0] ledg[1] ledg[2] ledg[3] ledg[4] ledg[5] ledg[6] ledg[7] 5

Código de Implementação Divisor de Clock module clock_div(input logic clk, rst_n, output logic [7:0] ledg, input [3:0] user_pb); logic [25:0] c; logic [1:0] scaler; always_ff @(posedge clk, negedge rst_n) c[0] <= (~rst_n)? 1'b0 : ~c[0]; Terminais externos do módulo clock_div genvar i; // variável de indexação para o 'generate-for' generate for (i=0; i < 25; i++) begin : clocks always_ff @(posedge c[i], negedge rst_n) c[i+1] <= (~rst_n)? 1'b0 : ~c[i+1]; end endgenerate always_comb begin for (int j=0; j<4; j++) begin ledg[j] = c[25-j]; ledg[j+4] = ~user_pb[j]; end end endmodule 6

Diagrama RTL para o divisor de clock Detalhe dos 6 últimos FFs da cadeia: 7

Quartus II Pin Planner Janelas de tarefas e relatório Mapa de pinos do FPGA adotado Listagem dos pinos do FPGA 8

Quartus II Pin Planner: Mapa de Pinos do FPGA Pinos atribuídos ao projeto 9

Quartus II Pin Planner Exibe a legenda dos pinos Nome do terminal no código HDL Pino associado no FPGA Padrão de I/O relacionado Direção do sinal Banco de I/O correspondente ao o pino Grupo de alimentação correspondente 10

Quartus II Assignment Editor 11

Arquivo.qsf Síntese: set_location_assignment addr[10] -to PIN_M20 -comment "Endereço da ROM" set_instance_assignment -name IO_STANDARD "2.5 V" -to addr[10] set_instance_assignment -name CURRENT_STRENGTH_NEW "MAXIMUM CURRENT" -to addr[10] 12

Acrescentar ao final do arquivo.qsf: Pinagem do Clock, Reset, LEDs e Chaves set_global_assignment -name AUTO_RESTART_CONFIGURATION OFF set_global_assignment -name RESERVE_ALL_UNUSED_PINS "AS INPUT TRI-STATED" # MAIN CLOCK ======================================== set_location_assignment PIN_AF15 -to clk # MAIN RESET BUTTON ================================= set_location_assignment PIN_AA15 -to rst_n # USER LEDS ========================================= set_location_assignment PIN_AD26 -to ledg[0] set_location_assignment PIN_AD25 -to ledg[1] set_location_assignment PIN_AC25 -to ledg[2] set_location_assignment PIN_AC24 -to ledg[3] set_location_assignment PIN_AB24 -to ledg[4] set_location_assignment PIN_AB23 -to ledg[5] set_location_assignment PIN_AB26 -to ledg[6] set_location_assignment PIN_AB25 -to ledg[7] # USER KEYS ========================================= set_location_assignment PIN_W24 -to user_pb[0] set_location_assignment PIN_W23 -to user_pb[1] set_location_assignment PIN_Y24 -to user_pb[2] set_location_assignment PIN_Y23 -to user_pb[3] 13

incompleto... 14

Bibliografia Quartus II Handbook Version 12.1 Volume 2: Design Implementation and Optimization, Altera Corp., 2012. Karim, M.A., Chen, X., Projeto Digital: Conceitos e Princípios Básicos, LTC, 2009. 15