Disciplina de SSC Elementos de Lógica Digital II (Prática)

Documentos relacionados
Disciplina de SSC Elementos de Lógica Digital II (Prática)

Suporte de funcionamento e interacção com o teclado

Disciplina de Laboratório de Elementos de Lógica Digital I SSC-0111

Disciplina de Laboratório de Elementos de Lógica Digital I SSC-0111

Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação

Disciplina de Programação de Robôs Móveis SSC-0712

Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação

Disciplina de Programação de Robôs Móveis SSC-0712

CMP238 Projeto e Teste de Sistemas VLSI

ISE com VHDL estrutural

FSM em VHDL e Verificação Funcional. CMP de junho de 2006

Disciplina de Organização de Computadores I

Módulo 4 Introdução ao VHDL

TIPO INTEGER. Profa. Luiza Maria Romeiro Codá 2

Exercícios de Fixação

Disciplina de. Organização de Computadores Digitais

Registradores. Circuitos Lógicos. DCC-IM/UFRJ Prof. Gabriel P. Silva

AULA 5 Aplicação com divisor de freqüência com o CI Livro Texto pág.197 a 200.

Disciplina de Introdução à Ciência da Computação ICC 1 - Teoria

Lógica Reconfigurável

low): Descreve o que o sistema deve fazer utilizando expressões lógicas.

2006, António Esteves, Sistemas Digitais 1, UM-DI. Módulo 6. Sistemas sequenciais

Projeto de Circuito Combinacional

Fundamentos de sistemas digitais. Test-bench. prof. Dr. Edson Ifarraguirre Moreno

Tipos enumerados definem uma lista de valores, e são especialmente úteis na

Lógica Reconfigurável

Técnicas Digitais para Computação

Disciplina de. Organização de Computadores Digitais

12/11/13. Obje%vos do laboratório. SST20707 Síntese de Sistemas de Telecomunicações. Síntese de máquinas de estado (FSM) Finite State Machine (FSM)

USP - ICMC - SSC SSC o. Semestre Disciplina de Introdução à Computação para Engenharia Ambiental

LABORG. Parte 5 Projeto de um circuito digital de média complexidade Parte 2. Fernando Gehm Moraes Matheus Trevisan

Aula 2 Semântica de VHDL

Algumas questões de prova recentes com seus gabaritos

Disciplina de. Organização de Computadores Digitais

USP - ICMC - SSC SSC o. Semestre Disciplina de Introdução à Ciência da Computação ICC 1 - Teoria

Disciplina de Organização de Computadores I

VHDL Circuitos Combinacionais

Exercícios de Laboratório 3

Disciplina de Programação de Robôs Móveis SSC-0712

Arquitetura de Computadores: Introdução

Descreva em VHDL, simule no simulador logico e sintetize usando uma ferramenta de CAD para FPGA :

Introdução à Linguagem VHDL

CIRCUITOS SEQUENCIAIS parte 2 Máquina de Estados Finita (FSM)

VHDL - VHSIC Hardware Description Language. Exemplo prático. Raiz Quadrada

VHDL. Descrição e Projeto de Circuitos Utilizando VHDL

Descrição por fluxo de dados (Data-Flow): Descreve o que o sistema deve fazer utilizando expressões lógicas.

Introdução a Sistemas Digitais

Lógica Reconfigurável

Projeto com Dispositivos Programáveis

CIRCUITOS SEQUENCIAIS parte 1

USP - ICMC - SSC SSC o. Semestre Disciplina de Introdução à Computação para Engenharia Ambiental

PRÁTICAS PARA DESENVOLVIMENTO DE PROTÓTIPOS DE CIRCUITOS DIGITAIS COM O KIT EDUCACIONAL DE2

Disciplina de Organização de Computadores I

Projeto de Somador com e sem Sinal. Qualificadores

USP - ICMC - SSC SSC o. Semestre Disciplina de Introdução à Ciência da Computação ICC 1 - Teoria

Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação

Disciplina de Organização de Computadores I

USP - ICMC - SSC SSC o. Semestre 2010 Disciplina de Introdução à Ciência da Computação ICC 1 - Teoria

LABORG. Parte 5 Projeto de um circuito digital de média complexidade. Fernando Gehm Moraes Matheus Trevisan

Lógica Reconfigurável

Plano de Aula 26/8/13. VHDL - Visão Geral. Obje%vos: VHDL - Visão Geral. Descrição de circuito digital em VHDL

Descrição e Projeto de Circuitos Utilizando VHDL

Disciplina de Organização de Computadores I

Introdução VHDL Parte 4 - Testbench

USP - ICMC - SSC SSC o. Semestre Disciplina de Introdução à Computação para Engenharia Ambiental

Eletrônica Digital para Instrumentação. Prof: Herman Lima Jr

Exercícios Referentes à Prova P1

Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação

USP - ICMC - SSC SSC o. Semestre Disciplina de Introdução à Ciência da Computação ICC 1 - Teoria

SIMULAÇÃO DE CIRCUITOS

Disciplina de Sensores Inteligentes SSC-0715

Introdução a Sistemas Digitais

Implementação de um Sistema Digital em VHDL Cronômetro para Jogos de Basquete

Organização e Arquitetura de Computadores II

Disciplina de Arquitetura de Computadores

CIRCUITOS COMBINACIONAIS

IMPLEMENTAÇÕES POR EQUAÇÃO DE ESTADOS E DE SAÍDA DOS MODELOS DE MEALY E DE MOORE 1 BIT POR ESTADO.

USP - ICMC - SSC SSC o. Semestre Disciplina de Introdução à Ciência da Computação ICC 1 - Teoria

Sistemas Digitais Unidade Lógica e Aritmética - ULA

Laboratório sobre Implementação de Sistemas Digitais com HDLs Ferramentas de Captura e Validação

Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação

Microprocessadores. Introdução ao Prof. Henrique

LABORG. VHDL Máquina de estados finitos

CMP238 Projeto e Teste de Sistemas VLSI

Disciplina de Sensores Inteligentes SSC-0715

Disciplina de Organização de Computadores Digitais

Disciplina de Sensores Inteligentes SSC-0715

Disciplina de. Programação de Robôs Móveis SSC-0712

FPGA & VHDL. Tutorial Aula 1. Computação Digital

Disciplina de. Organização de Computadores Digitais

Disciplina de. Organização de Computadores Digitais

Fundamentos de Sistemas Digitais. Lógica Sequencial. Prof. Dr. Alexandre M. Amory Prof. Dr Edson I. Moreno

LABORG. Parte 3 - VHDL: Processos, Paralelismo e o Comando process. Fernando Gehm Moraes Ney Laert Vilar Calazans

Introdução ao VHDL. Circuitos Lógicos. DCC-IM/UFRJ Prof. Gabriel P. Silva. Original por Ayman Wahba

Disciplina de Introdução à Ciência da Computação ICC 1 - Teoria

USP - ICMC - SSC SSC o. Semestre Disciplina de Linguagem de Programação e Aplicações [ Eng. Elétrica / Automação ]

PCS Sistemas Digitais I. Circuitos Combinatórios Blocos Básicos: (De)Multiplexadores e Dispositivos tri-state. Prof. Dr. Marcos A. Simplicio Jr.

Introdução. VHDL: VHSIC Hardware Description Language. Origem: VHSIC: Very High Speed Integrated Circuits. Departamento de Defesa EUA

Disciplina de Arquitetura de Computadores

Transcrição:

USP - ICMC - SSC SSC 0113 (Lab ELD II) - 2o. Semestre 2012 Disciplina de SSC0113 - Elementos de Lógica Digital II (Prática) 1 Prof. Fernando Osório Email: fosorio [at] { icmc. usp. br, gmail. com } Estagiário PAE: Diogo Ortiz Correa Email: diogosoc [at] { icmc. usp. br } Web: http://www.icmc.usp.br/~fosorio/ Wiki ICMC: http://wiki.icmc.usp.br/index.php/ssc-113-2012(fosorio) Aula 05

Aula 05 Projetos Completos usando VHDL Agenda: 1. Criando um projeto para ler o Teclado (Porta PS/2) 2. Exemplo: Leitura e Exibição do Teclado 3. Exemplo: Jogo Atropelando o Tomate Proposta do Trabalho Prático: Genius Numérico => Simon Game Teclas: 0 a 9 Letras: Q a P 2

Projeto: Teclado + Leds Introdução a porta PS/2 Transmissão Serial de Dados: Start + 8 bits + Parity + Stop Transmissão Serial de Dados Exemplo: Tecla Q Q em ASCII é 0x15 (15h) Em binário este valor é representado por: 0001 00101 Binário = 15 Hexadecimal 3 Source: http://www.computer-engineering.org/ps2keyboard Chan A é o Clock Chan B é o Data (1010 0001 : do bit 0 ao bit 7)

Projeto: Teclado Interface PS/2 LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.all; USE IEEE.STD_LOGIC_ARITH.all; USE IEEE.STD_LOGIC_UNSIGNED.all; ENTITY keyboard IS PORT( keyboard_clk, keyboard_data, reset, read: IN STD_LOGIC; scan_code : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); scan_ready : OUT END keyboard; STD_LOGIC); ARCHITECTURE a OF keyboard IS SIGNAL INCNT : std_logic_vector(3 downto 0); SIGNAL SHIFTIN : std_logic_vector(8 downto 0); SIGNAL READ_CHAR : std_logic; SIGNAL INFLAG, ready_set : std_logic; SIGNAL filter : std_logic_vector(7 downto 0); BEGIN 4 PROCESS (read, ready_set) BEGIN IF read = '1' THEN scan_ready <= '0'; ELSIF ready_set'event and ready_set = '1' THEN scan_ready <= '1'; END IF; END PROCESS;

--This process reads in serial data coming from the terminal PROCESS BEGIN WAIT UNTIL (KEYBOARD_CLK'EVENT AND KEYBOARD_CLK='1'); IF RESET='1' THEN INCNT <= "0000"; READ_CHAR <= '0'; ELSE 5 Projeto: Teclado Interface PS/2 IF KEYBOARD_DATA='0' AND READ_CHAR='0' THEN READ_CHAR<= '1'; ready_set<= '0'; ELSE -- Shift in next 8 data bits to assemble a scan code IF READ_CHAR = '1' THEN IF INCNT < "1001" THEN INCNT <= INCNT + 1; SHIFTIN(7 DOWNTO 0) <= SHIFTIN(8 DOWNTO 1); SHIFTIN(8) <= KEYBOARD_DATA; ready_set <= '0'; -- End of scan code character, so set flags and exit loop ELSE scan_code <= SHIFTIN(7 DOWNTO 0); READ_CHAR <='0'; ready_set <= '1'; INCNT <= "0000"; END IF; END IF; END IF; END IF; END PROCESS; END a;

Projeto: Teclado + Leds Introdução a porta PS/2 1. Criar um novo projeto no Quartus II 2. Incluir no projeto seus componentes VHDL Keyboard.vhd Dec_kbd.vhd Clock_div.vhd (ver material complementar da disciplina na Web) 3. Criar um Diagrama Esquemático (BDF) 4. Adicionar arquivos VHDL como Functional Blocks 5. Incluir os componentes VHDL no diagrama esquemático 6. Conectar os componentes VHDL (ver próximo slide) 7. Adicionar a pinagem externa Compilar e testar na DE2-70 com um Teclado tipo PS/2! 6 Fonte: http://osorio.wait4.org/ssc0113/aula05/

Projeto: Teclado + Leds Introdução a porta PS/2 7

Projeto: Teclado + Leds Interface de Teclado PS/2 8

AULA 05 VHDL: REFERENCIAS SITES: VHDL Reference => http://osorio.wait4.org/ssc0113/vhdl/ (Livro B&V) AULAS Teóricas => SSC0113 (Bonato, Simões) Interface PS/2 => http://www.computer-engineering.org/ps2keyboard =================================================================================== Ver material complementar Aula 05 http://osorio.wait4.org/ssc0113/aula05/ Exemplo de Arquivos VHD (Keyboard.vhd, Exemplo de Projeto Teclado_Led Exemplo de Jogo usando TECLADO e VGA: Atropele o Tomate em Complementos 9

USP ICMC - SSC0113- Turma 2012/2 Laboratório ELD II BCC (Prática) INFORMAÇÕES SOBRE A DISCIPLINA USP - Universidade de São Paulo - São Carlos, SP ICMC - Instituto de Ciências Matemáticas e de Computação SSC - Departamento de Sistemas de Computação LRM Laboratório de Robótica Móvel Web LRM: Http://lrm.icmc.usp.br/ Página pessoal: Http://www.icmc.usp.br/ ~fosorio/ E-mail: fosorio [at] { icmc. usp. br, gmail. com } F.Osório E-mail: diogosoc [at] { icmc. usp. br } - Diogo Correa (PAE) Disciplina de Laboratório de Elementos de Lógica Digital II [LELD2] Web Disciplinas: Http://www.icmc.usp.br/~fosorio/ Web Wiki: http://wiki.icmc.usp.br/index.php/ssc-113-2012(fosorio) > Programa, Material de Aulas, Critérios de Avaliação, > Material de Apoio, Trabalhos Práticos 10