Programação de CPLDs no ambiente ISE 4.2i da Xilinx



Documentos relacionados
Programação de CPLDs no ambiente ISE 4.2i da Xilinx

Departamento de Engenharia Electrotécnica e de Computadores Instituto Superior Técnico Universidade Técnica de Lisboa.

Departamento de Engenharia Electrotécnica e de Computadores Instituto Superior Técnico Universidade Técnica de Lisboa Sistemas Digitais

SISTEMAS DIGITAIS INTRODUÇÃO AO AMBIENTE DE PROJECTO DO XILINX ISE 10.1 PEDRO TOMÁS, PAULO LOPES, HORÁCIO NETO

Electrónica Digital 2. Xilinx Webpack

Instituto Superior de Ciências do Trabalho e da Empresa Departamento de Ciências e Tecnologias da Informação. Arquitectura de Computadores ETI IGE

PASSO A PASSO COMO CRIAR UM NOVO PROJETO EM SCHEMATIC NO SOFTWARE QUARTUS II

Departamento de Engenharia Electrotécnica e de Computadores Instituto Superior Técnico Universidade Técnica de Lisboa

UNIVERSIDADE FEDERAL DO RIO GRANDE DO NORTE - UFRN DEPARTAMENTO DE INFORMÁTICA E MATEMÁTICA APLICADA DIMAP

O diagrama ASM contém dois elementos básicos: o bloco de estado e o bloco de decisão.

Tutorial do Quartus II

Introdução à aplicação ISE Foundation 6.1i/WebPACK da Xilinx

Somador e subtrator de 8 bits

Exercício Opcional: FAMILIARIZAÇÃO COM O SOFTWARE CIRCUIT MAKER 2000

INTRODUÇÃO AO PSPICE. Usaremos o PSPICE para simular o circuito mostrado na Figura 1. Figura 1. Exemplo No. 1

Circuitos/Sistemas Integrados Digitais (CID/SID)

1 - Configurar a ligação

Ficha prática nº 7. SGBD Microsoft Access e SQL Server

Conceitos importantes

Introdução ao desenho de circuitos digitais usando Xilinx WebPACK 4.1 e linguagem ABEL

3.a Aula Prática Projeto de Circuitos Sequenciais com FPGA. Projeto e simulação de um gerador de frequência

Portas Lógicas Básicas: Parte 2 Simulação Lógica

Construção de um circuito sintético para a produção de hidrogénio

Usando o simulador MIPS

Tutorial :: Introdução ao VHDL em ambiente Design Works

Centro Universitário da FEI Engenharia Elétrica PROJETO DE SISTEMAS. DIGITAIS UTILIZANDO FPGAs

Manual de Instalação da Plataforma Scorpion. 1. Como conectar a Plataforma Scorpion com a Xilinx Starter-3E kit

ZS Rest. Manual Profissional. BackOffice Mapa de Mesas. v2011

Transição de POC para SNC

Guião de Introdução ao Eclipse IDE Índice

UNIVERSIDADE FEDERAL DE SANTA MARIA CENTRO DE TECNOLOGIA DISCIPLINAS: CIRCUITOS E SISTEMAS DIGITAIS GUIA PRÁTICO MAX-PLUS II VERSÃO 7.

Paradigmas da Programação Netbeans UML

O Primeiro Programa em Visual Studio.net

Internet Update de PaintManager TM. Manual de instalação e utilização do programa de actualização

Iniciar o Data Adapter Configuration Wizard. Toolbox Data Duplo clique em OleDbDataAdapter. Botão next na caixa de diálogo

UNIVERSIDADE FEDERAL DE SANTA CATARINA EEL5105 Circuitos e Técnicas Digitais Prof. Eduardo L. O. Batista

ALPHA SELECT Software de Configuração de Quadros Eléctricos

Faculdade de Ciências da Universidade de Lisboa CURSO DE GPS. Módulo x. (Aula Prática) Reliance - Ashtech. Suas Aplicações Em SIG.

Veja abaixo um exemplo de como os dados são mostrados quando usamos o

FAQ v Perguntas frequentes relacionadas. com ISE da Xilinx e ferramentas associadas. Versão da ferramenta: Xilinx ISE 10.1

Ambiente de trabalho. Configurações. Acessórios

GUIA PARA O PREENCHIMENTO DOS FORMULÁRIOS ENTIDADE GESTORA ERP PORTUGAL

Microsoft PowerPoint 2003

Manual do Usuário. Tag List. Tag List Generator. smar FIRST IN FIELDBUS JUL / 02. Tag-List VERSÃO 1.0 TAGLSTC3MP

Aleph Manual de utilização do Módulo de Catalogação

Tarefa 18: Criar Tabelas Dinâmicas a partir de Listas de Excel

Referências de tarefas de comunicação do Sametime

Conselho Geral da Ordem Dos Advogados Departamento Informático. Índice:

Software Manual SOFTWARE

Departamento de Engenharia Electrotécnica e de Computadores Instituto Superior Técnico Universidade Técnica de Lisboa Sistemas Digitais

UNIDADE 2: Sistema Operativo em Ambiente Gráfico

Editor Eclipse para Programas F

ZS Rest. Manual Avançado. Instalação em Rede. v2011

UNIDADE 2: Sistema Operativo em Ambiente Gráfico

Referencial do Módulo B

Bases de Dados. Lab 1: Introdução ao ambiente

Sistema Operativo em Ambiente Gráfico

MicroMIX Comércio e Serviços de Informática, Lda.

5 - Se o documento estiver completo, com os campos totalmente inseridos e com o aspecto que pretende, poderá guardá-lo.

Manual Gespos Passagem de Dados Fecho de Ano

I. COMO FAZER O REGISTO NA PLATAFORMA MOODLE 3 II. COMO ACEDER (ENTRAR) NO MOODLE DA ESCOLA 1

1 Code::Blocks Criação de projetos

Manual de utilizador CRM

Exercício prático GPS Thales MobileMapper

A interface do Microsoft Visual Studio 2005

Complemento ao Manual de Utilizador Plataforma de Estágios TIC

Backup Premium Guia Rápido de Introdução do Utilizador

Licenciatura em Eng.ª Informática Complementos de Redes - 3º Ano - 2º Semestre. Trabalho Nº 4 - VoIP

Amplificadores Operacionais

REGRAS A CONSIDERAR SEGUIDAMENTE APRESENTAMOS OS VÁRIOS MÓDULOS (CAIXAS) DISPONÍVEIS NO SOFTWARE. Pág. 5/18

Manual de Administração Intranet BNI

Gerenciador de Conteúdo Magellan 2.0

- O MySQL para além da sua utilização em modo linha de comandos, também pode ser utilizado através de ferramentas gráficas.

Microsoft Office FrontPage 2003

MoreDoc. Guia de Instalação. Edição Community Versão 3.0

Perguntas Frequentes Assinatura Digital

ISCTE-IUL. Manual VPN SIIC - URCS. (Outubro/2015) Instalação de VPN Cisco Windows 10

PROCEDIMENTO PADRÃO. HISTÓRICO DAS ALTERAÇÕES REVISÃO DATA ITENS REVISADOS /01/2006 Alteração do PID de recepção de 1050 para 1070.

MICROSOFT POWERPOINT

Aplicações de Escritório Electrónico

Acronis Servidor de Licença. Manual do Utilizador

DICAS PARA CONFECÇÃO DE PLACAS DE CIRCUITO IMPRESSO

TEMA: Oficinas Configurações, workflow e funcionalidades

COMPETÊNCIAS BÁSICAS EM TIC NAS EB1

MICROSOFT ACCESS MICROSOFT ACCESS. Professor Rafael Vieira Professor Rafael Vieira

TUTORIAL DE UTILIZAÇÃO DO PROTEUS

Manual de Procedimentos. Câmaras Municipais

Para alterar a sua palavra-passe, seleccione o item de menu "Segurança/Alterar Palavra-Passe.

Controle Parental. Introdução

Guia de configuração para acesso à rede sem fios EDUROAM. Windows 8

Para inserir custo fixo às tarefas, siga os passos abaixo :

2. Utilitários de sistema para ambiente Windows Ferramentas de gestão de ficheiros

Curso de Eng. Informática Linguagens de Programação. C Sharp University Data Processing. (C Sharp Universidade de Processamento de Dados) Docente:

CGA Directa. Manual do Utilizador. Acesso, Adesão e Lista de Subscritores

Guia rápido do utilizador

Microprocessadores. Notas sobre a utilização de Símbolos e Buses no Editor de Esquemáticos da Xilinx

My Storage para Microsoft Windows* XP

Google Sites. A g r u p a m e n t o C a m p o A b e r t o /


Transcrição:

Programação de CPLDs no ambiente ISE 4.2i da Xilinx O presente documento resume os passos necessários para programar um Complex Programmable Logic Device (CPLD) usando o editor de esquema eléctrico e a linguagem Verilog, procedendo à respectiva simulação antes da fase final de programação. 1-Lançar o programa Project Navigator. A janela que é lançada está dividida em várias partes cuja utilização será descrita à medida do necessário. A parte inferior é uma janela de comandos onde aparece informação referente à execução das diversas aplicações que compõem o software. 2-File -> New Project. 3-Surge uma nova janela onde deverá ser colocado o nome do projecto e a respectiva localização e seleccionar as seguintes opções: Device Family: XC9500 CPLDs Device: XC9536 PC44 Design Flow: XST Verilog Esta última opção determina a linguagem de descrição de hardware (LDH) que será utilizada numa das fases intermédias de descrição do circuito (transparente para o utilizador) e indica também a LDH que poderá ser usada na descrição do circuito. A opção por uma das linguagens é necessária mesmo quando se pretenda usar apenas o editor de esquema eléctrico. 4-Para criar cada novo módulo é necessário fazer: Project -> New Source e escolher: Schematic: abre a aplicação ECS para edição do circuito eléctrico. Verilog Module: abre uma janela onde se definem as entradas e saídas do módulo, gerando de seguida a cabeçalho do mesmo num ficheiro de texto. Test Bench Waveform: abre uma janela que permite escolher o módulo que vai ser testado e após a selecção lança a aplicação HDL Bencher. 5-Dentro da aplicação ECS para desenhar o circuito pretendido são úteis os seguintes passos: Colocar um componente: Add -> Symbol (pode ser feito a partir do botão correspondente), escolher Categories e Symbols. Colocar uma ligação: Add -> Wire (pode ser feito a partir do botão correspondente). Colocar um nome em cada sinal: Add -> Net Name (pode ser feito a partir do botão correspondente), preencher o campo do nome e clicar sobre o fio correspondente. Identificar entradas e saídas: Add -> I/O Marker (pode ser feito a partir do botão correspondente), escolher o tipo sinal e clicar sobre o extremo do fio correspondente. Verificar o circuito: Tools -> Check Schematic. Programação de CPLDs Xilinx FMD 1

6-Após a criação de um ficheiro de Verilog é necessário: Completar a descrição que é criada com a definição das entradas e saídas. Seleccionar o ficheiro Verilog na janela Sources in Project e na janela Processes for Current Source expandir a opção Synthesize e clicar em Check Syntax. 7-Para criar um símbolo para um bloco (independentemente do tipo de descrição do mesmo) é necessário fazer: Seleccionar o bloco a partir do qual se pretende criar o símbolo em Sources in Project e na janela Processes for Current Source expandir a opção Design Entry Utilities e clicar em Create Schematic Symbol. O símbolo passa a estar disponível no editor ECS na categoria referente à directoria onde se encontra o projecto. 8-Para testar um módulo é necessário criar um ficheiro do tipo Test Bench Waveform como referido em 4. Uma vez lançada a aplicação HDL Bencher é necessário efectuar os seguintes passos: Escolher os parâmetros de simulação que aparecem na janela inicial. A janela do HDL Bencher contem na parte superior as formas de onda dos sinais de entrada que podem ser editadas usando o rato e na parte inferior existe uma descrição na LDH escolhida em 3 do bloco a ser testado. Alterar os sinais de entrada e gravar as formas de onda. Fechar o HDL Bencher, escolhendo o número de ciclos de relógio que a simulação deverá usar após a última alteração das entradas. No Project Navigator escolher o ficheiro de simulação em Sources in Project e na janela Processes for Current Source expandir a opção ModelSim Simulator e clicar em Generate Expected Simulation Results. O HDL Bencher é novamente lançado e mostra os resultados da simulação. 9-Para programar o CPLD é necessário efectuar os seguintes passos: Seleccionar o módulo principal do projecto em Sources in Project e na janela Processes for Current Source clicar na opção Generate Programming File. O projecto é compilado. Em resultado da compilação é possível ver a atribuição dos pinos feita pelo software. Para ver esta informação seleccionar o módulo principal do projecto em Sources in Project e na janela Processes for Current Source, expandir a opção Implement Design, expandir a opção Fit e abrir o ficheiro Fitter Report. Neste ficheiro existe informação da atribuição dos recursos do CPLD e indicação da atribuição dos pinos. Caso seja pretendido também é possível fixar os pinos de cada entrada durante a fase de projecto. Programação de CPLDs Xilinx FMD 2

Seleccionar o módulo principal do projecto em Sources in Project e na janela Processes for Current Source, expandir a opção Generate Programming File e clicar em Configure Device (impact). A aplicação impact é lançada e procura de forma automática a ligação física que permite fazer a programação. No centro da aplicação impact surge o símbolo do CPLD que após seleccionado permite, usando o botão do lado direito, aceder a várias opções. Seleccionar Program -> OK para efectuar a programação. Após a programação pode efectuar-se a verificação da mesma fazendo Verify. Para fazer uma nova programação estão também disponíveis as opções de Erase e Blank Check. Programação de CPLDs Xilinx FMD 3

Informação sobre o encapsulamento Os CPLDs XC9536 estão montados em adaptadores de 40 pinos que permitem a sua montagem em bread boards e a ligação aos programadores usando ZIFs. Como os CPLDs têm 44 pinos e o adaptador 40, existem 4 pinos que não têm ligação. A informação seguinte é fornecida pelo software da Xilinx e indica quais são os pinos cuja função esta previamente definida e a sua numeração. Device : XC9536-5-PC44 T T T T T T T T T V T I I I I I I I I I C I E E E E E E E E E C E -------------------------------- /6 5 4 3 2 1 44 43 42 41 40 \ TIE 7 39 TIE TIE 8 38 TIE TIE 9 37 TIE GND 10 36 TIE TIE 11 XC9536-5-PC44 35 TIE TIE 12 34 TIE TIE 13 33 TIE TIE 14 32 VCC TDI 15 31 GND TMS 16 30 TDO TCK 17 29 TIE \ 18 19 20 21 22 23 24 25 26 27 28 / -------------------------------- T T T V T G T T T T T I I I C I N I I I I I E E E C E D E E E E E Legend: NC = Not Connected, unbonded pin TIE = Tie pin to GND or board trace driven to valid logic level VCC = Dedicated Power Pin GND = Dedicated Ground Pin TDI = Test Data In, JTAG pin TDO = Test Data Out, JTAG pin TCK = Test Clock, JTAG pin TMS = Test Mode Select, JTAG pin PE = Port Enable pin PROHIBITED = User reserved pin Os pinos assinalados a bold são pinos que não estão disponíveis devido ao adaptador para Dual In-line Package (DIP). Programação de CPLDs Xilinx FMD 4

A correspondência entre os pinos originais do CPLD e os pinos do adaptador está representada na figura seguinte. Pinos do CPLD XC9536 2 1 3 2 4 3 5 4 6 5 7 6 8 7 9 8 10 9 11 10 13 11 14 12 15 13 16 14 17 15 18 16 19 17 20 18 21 19 22 20 Socket DIP40 40 39 38 37 36 35 34 33 32 31 30 29 28 27 26 25 24 23 22 21 Pinos do CPLD XC9536 44 43 42 41 40 39 38 37 36 35 33 32 31 30 29 28 27 26 25 24 Para permitir um controlo sobre os pinos que são utilizados e não utilizados é possível incluir algumas algumas instruções adicionais. Para tal é necessário em Processes for Current Source expandir a opção Design Entry Utilities, expandir a opção User Constraints e clicar em Edit Implementation Constraints File. Abre um ficheiro de texto com extensão.ucf. Neste ficheiro são colocadas restrições impostas pelo utilizador. Entre outras coisas é possível fazer: Definição do pino de cada saída: INST nome_do_sinal LOC=PXXX; NET nome_do_sinal LOC=PXXX; Proibir a utilização de um pino: CONFIG PROHIBIT=PXXX; A primeira palavra é o comando respectivo, nome_do_sinal deve ser substituído pelo nome correcto e XXX é o número do pino pretendido. Programação de CPLDs Xilinx FMD 5