Aula 10 Microcontrolador Intel 8051 Parte 2

Tamanho: px
Começar a partir da página:

Download "Aula 10 Microcontrolador Intel 8051 Parte 2"

Transcrição

1 SEL 0415 Aula 10 Microcontrolador Intel 8051 Parte 2 SEL 0415 INTROD À ORGANIZAÇÃO DE COMPUTADORES Prof Dr Marcelo A C Vieira

2 SEL 415 Mapeamento das memórias internas

3 Memória de dados interna (RAM) n 8051 oferece uma memória de dados interna, com um mínimo de 128 bytes para o usuário (uso geral) ØVantagem 1: rápido acesso aos dados e, em muitas aplicações, pode eliminar a necessidade da RAM externa _ custo menor; ØVantagem 2: áreas de RAM interna acessíveis bit a bit _ útil para operações booleanas n Duto de endereçamento para a RAM interna 8 bits Æ256 bytes de memória (128 bytes para o usuário e 128 bytes para uso interno e para os registradores de funções especiais ÆModelo 8052 Æ há mais 128 bytes de RAM para uso geral (total de 384 bytes)

4 Memória de dados interna (RAM) FFh 80h (REGISTRADORES DE FUNÇÕES ESPECIAIS) 128 BYTES SUPERIORES 7Fh 00h (REGISTRADORES DE USO GERAL) 128 BYTES INFERIORES DA RAM INTERNA

5 Memória de dados interna (RAM) FFh FFh 80h (REGISTRADORES DE FUNÇÕES ESPECIAIS) 128 BYTES SUPERIORES 80h (Registradores de Uso Geral) 128 BYTES SUPERIORES (modelo 8052) 7Fh 00h (REGISTRADORES DE USO GERAL) 128 BYTES INFERIORES DA RAM INTERNA

6 Modos de Endereçamento FFh FFh 80h Apenas Endereçamento Direto 80h Apenas Endereçamento Indireto 7Fh 00h Endereçamento Direto e Indireto

7 SEL 415 Registradores de Funções Especiais (SFR)

8 Registradores de Funções Especiais (SFR) FFh FFh 80h SFR 80h 7Fh 00h Registradores da CPU para configuração de operações, controle de periféricos, interrupção, temporizadores, portas de I/O, etc 16 posições endereçáveis por bit

9 Registradores de Funções Especiais (SFR) Mapa Endereço 80 P0 SP DPL DPH PCON TCON TMOD TL0 TL1 TH0 TH1 8F 90 P SCON SBUF 9F A0 P2 A7 A8 IE AF B0 P3 B7 B8 IP BF C0 C7 C8 D0 D8 E0 E8 F0 PSW ACC B CF D7 DF E7 EF F7 F8 FF

10 Registradores de Uso Geral (GPR) FFh FFh 80h 7Fh 00h GPR 80h Registradores para uso do programador 4 bancos de registradores Ri para facilitar a programação 16 posições endereçáveis por bit

11 Registradores de Propósito Geral (GPR) 7F 30 2F 20 1F F BYTES ENDEREÇÁVEIS 7F BYTES ENDE- REÇÁVEIS POR BIT BANCO DE REGISTRADORES 3 BANCO DE REGISTRADORES 2 BANCO DE REGISTRADORES 1 BANCO DE REGISTRADORES 0 R7 R0 R7 R0 R7 R0 R7 R0

12 Banco de Registradores Formados pelos registradores R0 a R7 Seleção entre os Bancos feita pelos bits 3 e 4 do registrador PSW Program Status Word - PSW 7 0 CY AC F0 RS1 RS0 OV P Bits de controle do banco de registradores RS1 RS0 Banco Endereço h Fh h Fh

13 Memória de dados interna (RAM) Área de dados 7Fh As posições de 30h a 7Fh da RAM interna são disponíveis para leitura e escrita, através de endereçamento direto e indireto 30h 20h 2Fh 1Fh Bits de seleção em um registrador especial chamado de PSW 11 18h 10 10h 01 08h 00 00h Banco 3 Banco 2 Banco 1 Banco 0 17h 0Fh 07h

14 Flags n Bits indicadores de estado: Ø São bits que são setados ou apagados (0 ou 1), geralmente por hardware, dependendo do resultado de alguma operação do microcontrolador ØAlguns podem ser setados ou apagados na instrução (por software) ØAlgumas instruções testam flags para ver se elas devem ser executadas ou não Ø flags típicas: CARRY, ZERO, OVERFLOW Ø No 8051 as flags de estado da ULA ficam no registrador PSW ØHá também outras flags, como das interrupções, comunicação serial, temporizadores, etc

15 Registradores de Funções Especiais (SFR) Program Status Word - PSW 7 0 C AC F0 RS1 RS0 OV P Flag de CARRY Flag de CARRY Auxiliar* Flag auxiliar (*) Para operações BCD Flag de OVERFLOW Flag de Paridade

16 Endereçamento por Byte SFR Endereçáveis por Byte Todas as posições de memória RAM dos registradores especiais (80-FF) podem ser acessadas por byte (usando o nome ou o endereço do registrador), mas apenas por endereçamento direto Ex: a) Endereçamento Direto MOV P0, #0AAh ou MOV 80h, #0AAh MOV PSW, # b ou MOV D0h, # b MOV SP, #00 ou MOV 81h, #00

17 Endereçamento por Bit SFR endereçáveis a bit Os SFR s cujos endereços terminam em 0 ou 8h podem também ser endereçados a bit Modos de acesso ao bit: (I) por endereço do Bit dentro do Byte: 1 SETB 80h1; seta o bit 1 do endereço 80h (Porta 0) 2 CLR 80h2 ; zera o bit 2 do endereço 80h (Porta 0) A0 A8 B0 B8 C0 C8 D0 D8 E0 E8 F0 F8 P0 TCON P1 SCON P2 IE P3 IP PSW ACC B

18 Endereçamento por Bit SFR endereçáveis a bit Os SFR s cujos endereços terminam em 0 ou 8h podem também ser endereçados a bit Modos de acesso ao bit: (II) por nome : 1 SETB P01 ; seta o bit 1 do endereço 80h (Porta 0) 2 CLR P02 ; zera o bit 2 do endereço 80h (Porta 0) A0 A8 B0 B8 C0 C8 D0 D8 E0 E8 F0 F8 P0 TCON P1 SCON P2 IE P3 IP PSW ACC B

19 Endereçamento por Bit SFR endereçáveis a bit Os SFR s cujos endereços terminam em 0 ou 8h podem também ser endereçados a bit Modos de acesso ao bit: (III) pelo endereço absoluto do bit : 1 SETB 81h ; seta o bit 1 do endereço 80h (Porta 0) 2 CLR 82h ; zera o bit 2 do endereço 80h (Porta 0) A0 A8 B0 B8 C0 C8 D0 D8 E0 E8 F0 F8 P0 TCON P1 SCON P2 IE P3 IP PSW ACC B

20 SEL 415 Registradores de Uso Geral (GPR)

21 Endereçamento por Byte GPR Endereçáveis por Byte Todas as posições de memória RAM dos registradores de uso geral (00-7F) podem ser acessadas por byte, por endereçamento direto ou indireto Ex: a) Endereçamento Direto MOV 30h,#0AAh b) Endereçamento Indireto MOV R0,#30H

22 Endereçamento por Bit GPR Endereçáveis por Bit Todos os bytes de endereço entre 20h 2Fh também podem ser endereçados por bit Ex SETB 0Ah ou SETB 21h2 CLR 47h ou CLR 28h7

23 Instruções de operação direta com bit CLR bit à zera o bit diretamente SETB bit à seta o bit diretamente CPL bit à complementa o bit diretamente ANL C,bit à AND entre o bit e o carry ANL C,/bit à AND entre o complemento do bit e o carry ORL C,bit à OR entre o bit e o carry ORL C,/bit à OR entre o complemento do bit e o carry MOV C,bit à move o bit para o carry MOV bit,c à move o carry para o bit JB bit,rel à pula para o end rel se bit = 1 JNB bit,rel à pula para o end rel se bit = 0 JB bit,rel à pula para o end rel se bit = 1 e zera o bit

24 Registradores de controle das portas de I/O P0 (80h) Æ Porta 0 P1 (90h) Æ Porta 1 P2 (A0h) Æ Porta 2 P3 (B0h) Æ Porta 3 Contêm os dados das 4 portas de I/O do 8051 (cada porta tem um latch associado de 8 bits) Todas podem ser endereçáveis a bit (controle de cada pino individualmente) Escrever nesses registradores Æ altera o conteúdo nos pinos de saída do chip MOV P1,#01h Leitura Æ os registradores armazenam o estado presente nos pinos do chip na posição desejada MOV A, P1

25 Ponteiros n PC (Program Counter): ponteiro de 16 bits para área de programa (ROM) n DPTR (Data Pointer): ponteiro de 16 bits para área de dados em memória RAM interna e externa n SP (Stack Pointer): ponteiro de pilha (8 bits), determina a área da RAM interna dedicada à pilha n R0: ponteiro de 8 bits para RAM interna ou externa n R1: ponteiro de 8 bits para RAM interna ou externa

26 Instruções para Memória de Dados Interna (RAM) Exemplos com instrução MOV: Endereçamento imediato: MOV R0,#0F8H Endereçamento direto: MOV R3,6FH MOV 34H, 7FH Endereçamento indireto: MOV MOV Endereçamento por registrador: MOV A,R7

27 Exemplo de Programação #1

28 Exemplo de Programação 1 Vcc Vcc P P10 P11 P17 R R R Vcc Vcc Ex aplicativo: Suponhamos que, em função de um certo bit (P35), os leds nos 8 pinos da porta 1 sejam acesos sequencialmente conforme o estado daquele bit

29 Exemplo de Programação 1 Software simbólico: faz acumulador = Lê o bit se P35 = 0 então roda acumulador à esquerda (coloca o bit D0 em D1 e assim por diante, até colocar o bit D7 em D0) move acumulador para a porta 1 perde um tempo volta para o Lê o bit senão (isto é, se P35 = 1) roda acumulador à direita (coloca o bit D7 em D6 e assim por diante, até colocar o bit D0 em D7) move acumulador para a porta 1 perde um tempo volta para o Lê o bit

30 Software Real ORG 0 ;define início do programa no end 00h MOV A,# B ;faz acumulador = MOV P1, A ;move acumulador para a Porta 1 LEITURA: RIGHT: LEFT: JNB P35, LEFT ;pula para LEFT se P35 = 0, senão próx linha RR A ;roda byte do Acumulador para direita MOV P1, A ;move Acumulador para a Porta 1 ACALL TEMPO ;gasta tempo SJMP LEITURA ;lê bit P35 novamente RL A ;roda byte do Acumulador para esquerda MOV P1, A ;move Acumulador para a Porta 1 ACALL TEMPO ;gasta tempo SJMP LEITURA TEMPO: xxx RET END ;lê bit P35 novamente ;sub-rotina para gastar tempo ;retorna da sub-rotina ;fim do programa (compilador)

31 Como fica na Memória ROM (Flash) do 8051?

32 Exemplo de Programação #2

33 Exemplo # 2 Usando ponteiro de 8 bits ORG 0 ; define início do programa no endereço 00h MOV R0, #30h ; carrega ponteiro com endereço 30h CLR A ; apaga conteúdo do acumulador SOMA: ADD ; soma o valor apontado pelo ponteiro INC R0 ; incrementa ponteiro CJNE R0, #34h, SOMA ; verifica se o ponteiro chegou no end 34h FIM: SJMP FIM ; fim lógico do programa

34 Como fica na memória ROM (Flash) no 8051?

35 Como fica a memória RAM após a execução do programa?

36 SEL 415 Rotinas de Temporização

37

38 Clock e Temporização no 8051 CICLO DE MÁQUINA n Consiste numa seqüência de 6 estados, cada um formado por dois períodos de CK Æ por isso: 1 ciclo de máquina = 12 períodos de CK

39

40 Clock e Temporização no 8051 CICLO DE MÁQUINA n Instruções da família MCS-51 duram 12 ou 24 TCK (1 ou 2 Ciclos de máquina - CM) n Exceção Æ MUL AB e DIV AB (usam 4 CM)

41

42

43

44

45 Exemplo de Programação #3

46 Exemplo de Programação Fazer o mesmo exemplo #1 do acendimento sequencial de LEDs considerando agora um intervalo de 1s entre cada acendimento; Considerar que o 8051 está alimentado com um cristal de 1,0 MHz

47 Exemplo de Programação Vcc Vcc P P10 P11 P17 R R R Vcc Vcc Ex aplicativo: Suponhamos que, em função de um certo bit (P35), os leds nos 8 pinos da porta 1 sejam acesos seqüencialmente conforme o estado daquele bit; Considerar agora um atraso de 1s na seqüência

48 Exemplo de Programação Software simbólico: faz acumulador = Lê o bit se P35 = 0 então roda acumulador à esquerda (coloca o bit D0 em D1 e assim por diante, até colocar o bit D7 em D0) move acumulador para a porta 1 perde um tempo 1s com clock de 1MHz volta para o Lê o bit senão (isto é, se P35 = 1) roda acumulador à direita (coloca o bit D7 em D6 e assim por diante, até colocar o bit D0 em D7) move acumulador para a porta 1 perde um tempo 1s com clock de 1MHz volta para o Lê o bit

49 Cálculos para atraso de 1s Clock (cristal) de 1 MHz : Tcristal = 1 / f = 1/10 6 = 1μs Ciclo de máquina (M) = 12 x Tcristal = 12μs fcristal = 1MHz fciclo de máquina = 1MHz / 12 = 0,08333 MHz Ciclo de máquina (M) = 1 / fciclo de máquina = 1 / 0,08333 MHz = 12μs

50 Cálculos para atraso de 1s Números de ciclos de máquina : Rotina de 16 bits (R0 e R1): C = ((((R0 x 2) +3) x R1) +3) Δt = C x M = 1s - como M = 12μs, temos: C = 1/12μ ~ ciclos Escolho um valor para R0 e determino R1 Fazendo R0 = 250 e R1 = 166 C = ciclos \ Δt = C x M = x 12μs ~ 1,002s

51 Software Real (com atraso) ORG 0 MOV A,# B ;faz acumulador = MOV P1, A ;move acumulador para a Porta 1 LEITURA: JNB P35, LEFT ;pula para LEFT se P35 = 0, senão próx linha RIGHT: RR A ;roda byte do Acumulador para direita MOV P1, A ;move Acumulador para a Porta 1 ACALL TEMPO ;gasta tempo SJMP LEITURA ;lê bit P35 novamente LEFT: RL A ;roda byte do Acumulador para esquerda MOV P1, A ;move Acumulador para a Porta 1 ACALL TEMPO ;gasta tempo SJMP LEITURA ;lê bit P35 novamente TEMPO: ;sub-rotina para gastar 1s MOV R1, #166 ;considerando cristal de 1MHz LOOP: MOV R0, #250 DJNZ R0, $ DJNZ R1, LOOP RET ;retorna da sub-rotina END ;fim do programa para o compilador

52 Exemplo de Programação #4

53 SEL 415 Uso da memória de programa para armazenamento de dados não voláteis

54 Instrução para Memória de Programa Utiliza a memória de programa (ROM) para armazenar dados É denominado modo de endereçamento indexado Utilizado para armazenamento de tabelas (dados não voláteis) É endereçável pelo ponteiro de dados DPTR (16 bits) É necessário utilizar uma diretiva do compilador para armazenar a tabela de dados (o compilador deve saber diferenciar o que é instrução e o que é dados parar escrever na memória de programa) Instrução: MOVC A,@A+DPTR Exemplo: MOV DPTR, #0F0BH CLR A MOVC A,@A+DPTR

55 Exemplo de Programa Tabela de conversão para cálculo de 20*log(num+1), sendo num o valor colocado na porta P0 ORG 0 MOV DPTR, #TABELA LOOP: MOV A, P0 MOVC MOV 30H, A SJMP LOOP TABELA: DB 0,6,10,12,14,16,17,18

56 Como fica na memória ROM (Flash) no 8051?

57 Algumas Instruções MOV MOVC MOVX ADD SETB CLR SJMP ACALL INC DEC CPL JB JNB RET RETI DJNZ NOP RR RL PUSH POP ORG EQU END DB diretivas do compilador Ver apostila na página da disciplina: Capítulos 10 e 11

58 FIM

Memória de Dados Interna. Memória de Dados Interna

Memória de Dados Interna. Memória de Dados Interna Memória de Dados Interna Memória de Dados Interna - faixa de endereço endereçável diretamente: 00 a 7F hexadecimal. - faixa de endereço endereçável indiretamente: 00 a FF hexadecimal. - espaço endereçável

Leia mais

Aula 8 Microcontrolador 8051

Aula 8 Microcontrolador 8051 SEL 0415 Departamento de Engenharia Elétrica e de Computação EESC-USP SEL 0415 Introdução à Organização de Computadores Aula 8 Microcontrolador 8051 Profa Luiza Maria Romeiro Codá Autores: Prof Dr Marcelo

Leia mais

Aula 8 Microcontrolador 8051

Aula 8 Microcontrolador 8051 SEL 0415 Departamento de Engenharia Elétrica e de Computação EESC-USP SEL 0415 Introdução à Organização de Computadores Aula 8 Microcontrolador 8051 Profa. Luiza Maria Romeiro Codá Autores: Prof. Dr. Marcelo

Leia mais

Prof. Adilson Gonzaga

Prof. Adilson Gonzaga Prof. Adilson Gonzaga Memória de Dados Interna (RAM Interna) O endereçamento é feito com 8 bits Chips com 128 bytes de RAM não possuem a área I (Apenas Endereçamento Indireto) Memória de Dados Interna

Leia mais

14/3/2016. A escolha do microprocessador mais adequado depende basicamente da aplicação, e deve se levar em conta os seguintes critérios:

14/3/2016. A escolha do microprocessador mais adequado depende basicamente da aplicação, e deve se levar em conta os seguintes critérios: SEL-433 APLICAÇÕES DE MICROPROCESSADORES I Prof. Evandro L. L. Rodrigues A escolha do microprocessador mais adequado depende basicamente da aplicação, e deve se levar em conta os seguintes critérios: Técnicos:

Leia mais

O microcontrolador Quatro partes importantes

O microcontrolador Quatro partes importantes SEL-433 APLICAÇÕES DE MICROPROCESSADORES I A escolha do microprocessador mais adequado depende basicamente da aplicação, e deve se levar em conta os seguintes critérios: Técnicos: Velocidade, capacidade

Leia mais

Microcontroladores 8051

Microcontroladores 8051 Microcontroladores 8051 1. Microcontroladores Microcontrolador é o nome dado ao componente que incorpora em um só "chip" todos os elementos necessários a um microcomputador. Deve ter : CPU, Memória e Interfaces

Leia mais

Microprocessadores. Organização de Memória

Microprocessadores. Organização de Memória Microprocessadores Organização de Memória Prof. Clayrton Henrique rev. 01 fev. 2016 Roteiro Introdução; RAM Interna; Parte de Dados; Parte de SFR; Registradores; Assembly; Referências... 2 Introdução RAM

Leia mais

SEL 337 Aplicação de Microprocessadores II

SEL 337 Aplicação de Microprocessadores II SEL 337 SEL 337 Aplicação de Microprocessadores II Prof. Dr. Marcelo A. C. Vieira SEL 337 DIREÇÃO DE TRANSFERÊNCIA DE DADOS TRANSM Fluxo de Dados RECEP SIMPLEX TRANSM RECEP Fluxo de Dados TRANSM RECEP

Leia mais

SEL-614 MICROPROCESSADORES E APLICAÇÕES. Adilson Gonzaga

SEL-614 MICROPROCESSADORES E APLICAÇÕES. Adilson Gonzaga SEL-614 MICROPROCESSADORES E APLICAÇÕES Prof: Adilson Gonzaga HISTÓRICO Microprocessador Circuito integrado ( chip ) capaz de executar instruções. 1971 Intel Corporation lançou no mercado o microprocessador

Leia mais

MICROCONTROLADOR 8051

MICROCONTROLADOR 8051 MICROCONTROLADOR 8051 Notas de Aula (v.2014) Prof. André R. Hirakawa Prof. Paulo S. Cugnasca Prof. Carlos E. Cugnasca ARQUITETURA 8051 APLICAÇÕES MAIS FREQUENTES SISTEMAS COMPACTOS: MEMÓRIAS ROM / RAM

Leia mais

CONJUNTO DE INSTRUÇÕES

CONJUNTO DE INSTRUÇÕES CONJUNTO DE INSTRUÇÕES Rn - Registrador R0 R7 do banco de registradores selecionado. direto - 8-bits de endereço da posição da RAM de dados Podem ser referentes tanto à RAM interna (0 7F) como ao espaço

Leia mais

Temporização da CPU SEL-433 APLICAÇÕES DE MICROPROCESSADORES I. Ciclos de Máquina. Ciclos de Máquina. Temporização Interrupções Rotinas de Atraso

Temporização da CPU SEL-433 APLICAÇÕES DE MICROPROCESSADORES I. Ciclos de Máquina. Ciclos de Máquina. Temporização Interrupções Rotinas de Atraso SEL-433 APLICAÇÕES DE MICROPROCESSADORES I Temporização da CPU Todos os Microcontroladores da família MCS-51 têm um oscilador interno. Para uso deste oscilador deve-se conectar um cristal entre os pinos

Leia mais

29/03/2017. Temporização da CPU SEL-433 APLICAÇÕES DE MICROPROCESSADORES I. Ciclos de Máquina. Ciclos de Máquina

29/03/2017. Temporização da CPU SEL-433 APLICAÇÕES DE MICROPROCESSADORES I. Ciclos de Máquina. Ciclos de Máquina SEL-433 APLICAÇÕES DE MICROPROCESSADORES I Temporização da CPU Todos os Microcontroladores da família MCS-5 têm um oscilador interno. Para uso deste oscilador deve-se conectar um cristal entre os pinos

Leia mais

7. PROGRAMANDO O MICROCONTROLADOR. Microcontroladores - Prof: Demantova

7. PROGRAMANDO O MICROCONTROLADOR. Microcontroladores - Prof: Demantova 7. PROGRAMANDO O MICROCONTROLADOR 1 7. PROGRAMANDO O MICROCONTROLADOR: Hardware parte física do circuito eletrônico CPU onde está localizado o microcontrolador. Dentro do microcontrolador existe um conjunto

Leia mais

Programação de Microprocessadores. Programação de Microprocessadores SEL-433 APLICAÇÕES DE MICROPROCESSADORES I

Programação de Microprocessadores. Programação de Microprocessadores SEL-433 APLICAÇÕES DE MICROPROCESSADORES I SEL-433 APLICAÇÕES DE MICROPROCESSADORES I Programação de Microprocessadores Microprocessadores são Máquinas de Estado Seqüenciais Síncronas que operam mediante a execução de uma seqüência de códigos binários

Leia mais

Sistemas Microprocessados. sato<at>utfpr<dot>edu<dot>br

Sistemas Microprocessados. sato<at>utfpr<dot>edu<dot>br Sistemas Microprocessados satoutfpredubr http://pessoal.utfpr.edu.br/sato/ Assembly do 805 satoutfpredubr Sobre o material Essas transparências foram baseadas em materiais elaborados

Leia mais

As 5 partes fundamentais. Linguagem de Programação Pinagem Características Elétricas Ambiente de Desenvolvimento Integrado - IDE

As 5 partes fundamentais. Linguagem de Programação Pinagem Características Elétricas Ambiente de Desenvolvimento Integrado - IDE SEL-433 APLICAÇÕES DE MICROPROCESSADORES I Como conhecer/estudar um Microprocessador/Microcontrolador As 5 partes fundamentais Programação de Microprocessadores Prof: Evandro L. L. Rodrigues Arquitetura

Leia mais

Notas de Aula Prof. André R. Hirakawa Prof. Paulo S. Cugnasca Prof. Carlos E. Cugnasca

Notas de Aula Prof. André R. Hirakawa Prof. Paulo S. Cugnasca Prof. Carlos E. Cugnasca Notas de Aula Prof. André R. Hirakawa Prof. Paulo S. Cugnasca Prof. Carlos E. Cugnasca SISTEMAS COMPACTOS: MEMÓRIAS ROM/RAM INTERNAS E/S INTERNOS: 1 CANAL SERIAL (CONSOME P3.0 E P3.1) 2 TIMERS/CONTADORES

Leia mais

Interrupção. Prof. Adilson Gonzaga

Interrupção. Prof. Adilson Gonzaga Interrupção Prof. Adilson Gonzaga Estrutura de Programação Assembly Programa Principal Chamada de Sub-rotina1 Programa Principal Chamada de Sub-rotina2 Sub-rotina1 Subrotina2 Programa Principal Chamada

Leia mais

Prof. Adilson Gonzaga

Prof. Adilson Gonzaga Prof. Adilson Gonzaga Temporização da CPU Todos os Microcontroladores da família MCS-51 têm um oscilador interno. Para uso deste oscilador deve-se conectar um cristal entre os pinos Xtal1 e Xtal2 da CPU.

Leia mais

SEL 0415 INTROD. À ORGANIZAÇÃO DE COMPUTADORES

SEL 0415 INTROD. À ORGANIZAÇÃO DE COMPUTADORES SEL 0415 Aula 11 Microcontrolador 8051 Parte 3 SEL 0415 INTROD. À ORGANIZAÇÃO DE COMPUTADORES Prof. Dr. Marcelo A. C. Vieira SEL 415 INTERRUPÇÃO Estrutura de Interrupção do 8051 n 5 Fontes [ 2 Externas

Leia mais

Temporização Interrupções. Prof: Evandro L. L. Rodrigues. Rotinas de Atraso

Temporização Interrupções. Prof: Evandro L. L. Rodrigues. Rotinas de Atraso Temporização Interrupções Prof: Evandro L. L. Rodrigues Rotinas de Atraso Temporização da CPU Todos os Microcontroladores da família MCS-51 têm um oscilador interno. Para uso deste oscilador deve-se conectar

Leia mais

EXERCÍCIOS RESOLVIDOS

EXERCÍCIOS RESOLVIDOS EXERCÍCIOS RESOLVIDOS Modos de endereçamento direto ; inclui no programa assembly o arquivo (REG51.inc) ORG 0000H ; o programa inicia na linha 0000H da EPROM MOV A,#01010101B ; carrego no ACC por binário

Leia mais

Organização de Memórias

Organização de Memórias Intel 8051 O Intel 8051 é um microcontrolador de 8 bits que pertence a família MCS-51 da Intel e foi lançado em 1977. É tido como o microcontrolador mais popular do mundo, pois é muito versátil e possui

Leia mais

8051 Estudo de Caso. Prof. Carlos E. Capovilla - CECS/UFABC 1

8051 Estudo de Caso. Prof. Carlos E. Capovilla - CECS/UFABC 1 8051 Estudo de Caso Prof. Carlos E. Capovilla - CECS/UFABC 1 8051 - Características O 8051 é membro da família MCS-51, e constitui o núcleo de todos os dispositivos MCS-51. Diversos fabricantes produzem

Leia mais

Prof. Adilson Gonzaga

Prof. Adilson Gonzaga Microprocessadores são Máquinas de Estado Seqüenciais Síncronas que operam mediante a execução de uma seqüência de códigos binários armazenados em memória. Prof. Adilson Gonzaga 1 As ordens ou comandos

Leia mais

Conjunto de Instruções do 8051

Conjunto de Instruções do 8051 Apêndice B Conjunto de Instruções do 8051 A.G. e E.T.M. / 2001 (revisão) O 8051 apresenta 111 tipos de instruções, sendo 49 de um byte, 45 de dois bytes e 17 de três bytes. Levando-se em contas as variações

Leia mais

MICROPROCESSADORES E MICROCONTROLADORES PROVA 2 (Tema: Microcontrolador 8051) Resposta Esperada

MICROPROCESSADORES E MICROCONTROLADORES PROVA 2 (Tema: Microcontrolador 8051) Resposta Esperada MICROPROCESSADORES E MICROCONTROLADORES PROVA 2 (Tema: Microcontrolador 8051) Resposta Esperada 1. Tema: programação geral do microcontrolador 8051. Valor: 2,5 A Tabela 1 mostra um programa, em linguagem

Leia mais

Microcontrolador 8051

Microcontrolador 8051 Microcontrolador 8051 Inicialmente fabricado pela INTEL, atualmente fabricado por várias empresas; Possui uma grande variedade de dispositivos, com diversas características, porém compatíveis em software;

Leia mais

Família 8051 (introdução) 2011/1

Família 8051 (introdução) 2011/1 Família 8051 (introdução) 2011/1 Refresh Microprocessador vs. microcontrolador. Periféricos built-in. Single-chip computer 2 Objetivos Histórico Modelos da família original Principais características Diagrama

Leia mais

MICROPROCESSADORES E MICROCONTROLADORES PROVA 2 UMA SOLUÇÃO POSSÍVEL. Obs.: Todas as questões têm valor 2,0. Boa prova e Feliz Natal e Ano Novo!!!!

MICROPROCESSADORES E MICROCONTROLADORES PROVA 2 UMA SOLUÇÃO POSSÍVEL. Obs.: Todas as questões têm valor 2,0. Boa prova e Feliz Natal e Ano Novo!!!! MICROPROCESSADORES E MICROCONTROLADORES PROVA 2 Aluno: Matrícula: UMA SOLUÇÃO POSSÍVEL Obs.: Todas as questões têm valor 2,0. Boa prova e Feliz Natal e Ano Novo!!!! 1. Escreva um pequeno, em assembly do

Leia mais

Assembly Sintaxe do Assembly. Instruções que afectam Flags. Aplicações de Microprocessadores 2006/2007

Assembly Sintaxe do Assembly. Instruções que afectam Flags. Aplicações de Microprocessadores 2006/2007 Assembly 8051 Aplicações de Microprocessadores 2006/2007 Sintaxe do Assembly [Label] Op-code [Operando] [Comentário] tabela: movc a,@a+dptr ; vai à tabela buscar o seu correspondente ASCII 2 2 Instruções

Leia mais

SEL-433 Aplicação de Microprocessadores I. Prof: Adilson Gonzaga

SEL-433 Aplicação de Microprocessadores I. Prof: Adilson Gonzaga SEL-433 Aplicação de Microprocessadores I Prof: Adilson Gonzaga HISTÓRICO Microprocessador Circuito integrado ( chip ) capaz de executar instruções. 1971 Intel Corporation lançou no mercado o microprocessador

Leia mais

CAPÍTULO II FAMÍLIA MCS-51

CAPÍTULO II FAMÍLIA MCS-51 CAPÍTULO II 2.1. INTRODUÇÃO Este curso abordará somente a família MCS-51. Ela não é tão antiga e limitada como a MCS-48 nem tão cara como a MCS-96. Por isso mesmo é atualmente a família de controladores

Leia mais

ORGANIZAÇÃO DE MEMÓRIA NO 8051: Microcontroladores - Prof: Demantova 1

ORGANIZAÇÃO DE MEMÓRIA NO 8051: Microcontroladores - Prof: Demantova 1 ORGANIZAÇÃO DE MEMÓRIA NO 8051: 1 8. ORGANIZAÇÃO DE MEMÓRIA NO 8051: 00H 0000H BANCOS DE REGISTROS 00H 7FH 80H RAM INTERNA REGISTROS ESPECIAIS REGISTROS ENDEREÇÁVEIS POR BIT RAM DE USO GERAL 1FH 20H 2FH

Leia mais

MICROCONTROLADOR 8051

MICROCONTROLADOR 8051 MICROCONTROLADOR 8051 NOTA IMPORTANTE: Esta documentação está em processo de revisão. Estamos trabalhando duro para assegurar que todas as informações contidas neste documento estejam corretas. Não nos

Leia mais

Laboratório de Microprocessadores e Microcontroladores

Laboratório de Microprocessadores e Microcontroladores Escola de Engenharia Elétrica, Mecânica e de Computação Universidade Federal de Goiás Laboratório de Microprocessadores e Microcontroladores Experimento 3: Temporizadores e Display LCD Alunos: Matrícula:

Leia mais

Microprocessadores e Microcontroladores. Prova Substitutiva Uma Solução

Microprocessadores e Microcontroladores. Prova Substitutiva Uma Solução Prova Substitutiva Uma Solução Aluno: Matrícula: Uso de Interrupções e do Temporizador 1. Faça um programa (usando o assembly do 8051) para acionamento de um motor de corrente contínua, com as seguintes

Leia mais

Estrutura Básica de um Computador

Estrutura Básica de um Computador SEL-0415 Introdução à Organização de Computadores Estrutura Básica de um Computador Aula 2 Prof. Dr. Marcelo Andrade da Costa Vieira INTRODUÇÃO n Organização Æ implementação do hardware, componentes, construção

Leia mais

9/3/2009. Aula 4. Engenharia de Sistemas Embarcados. Cenário: Sistema de Controle de LEDs

9/3/2009. Aula 4. Engenharia de Sistemas Embarcados. Cenário: Sistema de Controle de LEDs Cenário: Sistema de Controle de LEDs Sistema Embarcado Aula 4 Sistema Engenharia de Sistemas Embarcados Prof. Abel Guilhermino Tópico: Arquitetura de um microcontrolador 851 Engenharia de Sistemas Embarcados

Leia mais

Laboratório de Microprocessadores e Microcontroladores

Laboratório de Microprocessadores e Microcontroladores Escola de Engenharia Elétrica, Mecânica e de Computação Universidade Federal de Goiás Laboratório de Microprocessadores e Microcontroladores Experimento 3: Temporizadores e Display LCD Alunos: Matrícula:

Leia mais

MICROPROCESSADORES E MICROCONTROLADORES PROVA 2 UMA SOLUCAO POSSÍVEL

MICROPROCESSADORES E MICROCONTROLADORES PROVA 2 UMA SOLUCAO POSSÍVEL MICROPROCESSADORES E MICROCONTROLADORES PROVA 2 UMA SOLUCAO POSSÍVEL Aluno: Matrícula: 1. Escreva as instruções necessárias para atender a cada uma das configurações solicitadas. Valor: 2,0 (a) Interrupção

Leia mais

MICROPROCESSADORES E MICROCONTROLADORES PROVA 2 Resposta Esperada

MICROPROCESSADORES E MICROCONTROLADORES PROVA 2 Resposta Esperada MICROPROCESSADORES E MICROCONTROLADORES PROVA 2 Aluno: Matrícula: 1. Na figura a seguir 8 Leds são conectados à porta P1 e 8 à porta P2. Valor: 2,5 Faça um programa em assembly do 8051 em que as interrupções

Leia mais

Aula 4. Engenharia de Sistemas Embarcados. Prof. Abel Guilhermino Tópico: Arquitetura de um microcontrolador 8051

Aula 4. Engenharia de Sistemas Embarcados. Prof. Abel Guilhermino Tópico: Arquitetura de um microcontrolador 8051 Aula 4 Engenharia de Sistemas Embarcados Prof. Abel Guilhermino Tópico: Arquitetura de um microcontrolador 8051 Cenário: Sistema de Controle de LEDs Sistema Embarcado Sistema Engenharia de Sistemas Embarcados

Leia mais

Laboratório de Microprocessadores e Microcontroladores

Laboratório de Microprocessadores e Microcontroladores Escola de Engenharia Elétrica, Mecânica e de Computação Universidade Federal de Goiás Laboratório de Microprocessadores e Microcontroladores Experimento 3: Temporizadores e Display LCD Alunos: Matrícula:

Leia mais

DIAGRAMA DE BLOCOS DE UM MICROCONTROLADOR

DIAGRAMA DE BLOCOS DE UM MICROCONTROLADOR DIAGRAMA DE BLOCOS DE UM MICROCONTROLADOR BARRAMENTO [BUS] DE ENDEREÇOS UNIDAD CENTRAL DE PROCESO C.P.U INTERFACE SERIE UNIDADE DE CONTROLE U.L.A REGITRADORES TIMERS ROM RAM PORTAS E/S [I/O] BUS DE DADOS

Leia mais

Sistemas Embarcados:

Sistemas Embarcados: Sistemas Embarcados Microcontroladores Prof. Protásio Laboratório de Microengenharia/DEE/CEAR/UFPB Fundamentos de microcontroladores Bit (Binary digit) Zero lógico (Ø) e Um lógico (1) 0V e 5V ou 3,3V Byte

Leia mais

Microcontrolador 8051:

Microcontrolador 8051: Microcontrolador 8051: Fonte: CORRADI 2009 O Microcontrolador 8051: Começou a ser produzido no início da década de 80, pela Intel; Hoje é fabricado por várias empresas e com muitas variações; Atualmente,

Leia mais

UNIVERSIDADE PAULISTA (UNIP) Curso de Engenharia Mecatrônica Disciplina: Microprocessadores e Microcontroladores (MM) LISTA III

UNIVERSIDADE PAULISTA (UNIP) Curso de Engenharia Mecatrônica Disciplina: Microprocessadores e Microcontroladores (MM) LISTA III UNIVERSIDADE PAULISTA (UNIP) Curso de Engenharia Mecatrônica Disciplina: Microprocessadores e Microcontroladores (MM) LISTA III Orientações: Esta lista de Exercícios deve ser entregue juntamente com a

Leia mais

Tópicos: 1 - Modos de endereçamento do Pilha e instruções de Pilha. 3 - Instruções que usam pilha: - instrução CALL - instrução RET

Tópicos: 1 - Modos de endereçamento do Pilha e instruções de Pilha. 3 - Instruções que usam pilha: - instrução CALL - instrução RET Tópicos: 1 - Modos de endereçamento do 8051 2 - Pilha e instruções de Pilha 3 - Instruções que usam pilha: - instrução CALL - instrução RET 4 - Interrupção 1 - Modos de Endereçamento do 8051 Os modos de

Leia mais

Laboratório de Microprocessadores e Microcontroladores

Laboratório de Microprocessadores e Microcontroladores Escola de Engenharia Elétrica, Mecânica e de Computação Universidade Federal de Goiás Laboratório de Microprocessadores e Microcontroladores Experimento 4: Motor de Passo e Display LCD Alunos: Matrícula:

Leia mais

Microcontroladores. Conjunto de Instruções do Prof. Guilherme Peron Prof. Heitor Lopes Prof. Ronnier Rohrich Prof. Rubão

Microcontroladores. Conjunto de Instruções do Prof. Guilherme Peron Prof. Heitor Lopes Prof. Ronnier Rohrich Prof. Rubão Microcontroladores do 805 Prof. Guilherme Peron Prof. Heitor Lopes Prof. Ronnier Rohrich Prof. Rubão Introdução Os microcontroladores: Têm instruções limitadas Precisam tratar os dados da forma correta

Leia mais

MICROPROCESSADORES E MICROCONTROLADORES. Prova 1 Resposta Esperada

MICROPROCESSADORES E MICROCONTROLADORES. Prova 1 Resposta Esperada MICROPROCESSADORES E MICROCONTROLADORES Prova 1 Resposta Esperada 1. Tema: Conceitos Gerais Valor: 1,0 Os microcontroladores da família 8051 possuem arquitetura CISC, e os microcontroladores da família

Leia mais

MICROPROCESSADORES E MICROCONTROLADORES PROVA 2 - RESPOSTA ESPERADA

MICROPROCESSADORES E MICROCONTROLADORES PROVA 2 - RESPOSTA ESPERADA MICROPROCESSADORES E MICROCONTROLADORES PROVA 2 - RESPOSTA ESPERADA 1. Escreva as instruções para as configurações pedidas. Valor: 2,0 a) Habilita as interrupções externas zero e um e a interrupção do

Leia mais

Apontamentos sobre o 8051 Prof. Eng Luiz Antonio Vargas Pinto Versão revista e ampliada em 01/07/2009 Revisada em 28/02/2006 Revisada em 18/11/2007

Apontamentos sobre o 8051 Prof. Eng Luiz Antonio Vargas Pinto Versão revista e ampliada em 01/07/2009 Revisada em 28/02/2006 Revisada em 18/11/2007 1 Apontamentos sobre o 8051 Prof. Eng Luiz Antonio Vargas Pinto Versão revista e ampliada em 01/07/2009 Revisada em 28/02/2006 Revisada em 18/11/2007 Revisada em 17/03/2011 Revisada em 13/01/2013 1. Introdução:...

Leia mais

Laboratório de Microprocessadores e Microcontroladores

Laboratório de Microprocessadores e Microcontroladores Escola de Engenharia Elétrica, Mecânica e de Computação Universidade Federal de Goiás Laboratório de Microprocessadores e Microcontroladores Experimento 1 Introdução ao Microcontrolador 8051 Alunos: Matrícula:

Leia mais

programáveis por software

programáveis por software Temporizadores e Contadores (Timer/Counter) O 85 possui 2 T/C internos de 6 Bits programáveis e com capacidade de operação independente da CPU. Contadores crescentes (up-counter) que geram sinal de interrupção

Leia mais

PRÁTICAS. Microcontroladores: (LT36D) Prof: DaLuz. Práticas - 2º sem Microcontroladores LT36D - 26/04/ :56 1/16

PRÁTICAS. Microcontroladores: (LT36D) Prof: DaLuz. Práticas - 2º sem Microcontroladores LT36D -  26/04/ :56 1/16 PRÁTICAS Microcontroladores: (LT36D) Prof: DaLuz 1/16 Laboratório 01: - Laboratórios Instrução / diretiva (ORG e END), exemplos: ORG 0000h posiciona o código na linha 0000h da EPROM ORG 0100h posiciona

Leia mais

Laboratório de Microprocessadores e Microcontroladores

Laboratório de Microprocessadores e Microcontroladores Escola de Engenharia Elétrica, Mecânica e de Computação Universidade Federal de Goiás Laboratório de Microprocessadores e Microcontroladores Experimento 1 Introdução ao Microcontrolador 8051 Alunos: Matrícula:

Leia mais

Temporizadores e Contadores (Timer/Counter)

Temporizadores e Contadores (Timer/Counter) SEL-433 APLICAÇÕES DE MICROPROCESSADORES I O 8051 possui 2 (ou 3) T/C internos de 16 Bits programáveis e com capacidade de operação independente da CPU. Contadores crescentes (up-counter) que geram sinal

Leia mais

Aula 10 Microcontrolador Intel 8051 Parte 1

Aula 10 Microcontrolador Intel 8051 Parte 1 Aula 10 Microcontrolador Intel 8051 Parte 1 SEL 0415 INTROD. À ORGANIZAÇÃO DE COMPUTADORES Prof. Dr. Marcelo A. C. Vieira SEL 0415 Microcontroladores Grupo de Sistemas Digitais n Microcontrolador é o nome

Leia mais

Memória de dados interna

Memória de dados interna Memória de dados interna A MD interna na família 51 proporciona 128 bytes ou 256 bytes de MD interna (conforme a versão do componente) Os endereços 0 a 7FH incluem os bancos de registos R0 a R7, posições

Leia mais

MICROPROCESSADORES E MICROCONTROLADORES PROVA 2 - Uma Solução Possível

MICROPROCESSADORES E MICROCONTROLADORES PROVA 2 - Uma Solução Possível Parte 3 Parte 1 Parte 2 Goiânia, 5 de junho de 2014. MICROPROCESSADORES E MICROCONTROLADORES PROVA 2 - Uma Solução Possível 1. Suponha um sistema com um conjunto de 8 LEDs conectados à porta P1 e duas

Leia mais

Laboratório de Microprocessadores e Microcontroladores

Laboratório de Microprocessadores e Microcontroladores Escola de Engenharia Elétrica, Mecânica e de Computação Universidade Federal de Goiás Laboratório de Microprocessadores e Microcontroladores Experimento 5: Comunicação Serial Alunos: Matrícula: Prof. Dr.

Leia mais

mov R1,10H ; Carrega o dado do endereço 10H em R1 mov R1,#10H ; Carrega 10H em R1

mov R1,10H ; Carrega o dado do endereço 10H em R1 mov R1,#10H ; Carrega 10H em R1 --------------------------------------------------------------- Programa: primeiro.asm Move dado da acumulador para o registro B e vice-versa. Autor: Vargas Data:16:52 16/02/01 ---------------------------------------------------------------

Leia mais

Acetatos de apoio às aulas teóricas

Acetatos de apoio às aulas teóricas Microprocessadores e Aplicações Acetatos de apoio às aulas teóricas Ana Cristina Lopes Dep. Engenharia Electrotécnica http://orion.ipt.pt anacris@ipt.pt Ana Cristina Lopes, 20 de Outubro de 2005 Microprocessadores

Leia mais

NOTAS DE AULA 06 MICROCONTROLADOR 8051

NOTAS DE AULA 06 MICROCONTROLADOR 8051 NOTAS DE AULA 06 MICROCONTROLADOR 8051 SUMÁRIO DO VOLUME NOTAS DE AULA 06 1 INTRODUÇÃO AOS MICROCONTROLADORES 2 PROGRAMANDO EM LINGUAGEM ASSEMBLY - 8051 3 INSTRUÇÕES: JUMPS, LOOPS E CALL 4 DESCRIÇÃO DOS

Leia mais

Temporizadores e Contadores

Temporizadores e Contadores Temporizadores e Contadores Temporizadores e Contadores (Timer/Counter) O 8051 possui 2 T/C internos de 16 Bits programáveis e com capacidade de operação independente da CPU. Contadores crescentes (up-counter)

Leia mais

Prof. Adilson Gonzaga

Prof. Adilson Gonzaga Exemplo de Projeto com Microcontrolador MCS-51 Prof. Adilson Gonzaga Definições Iniciais: Microcontrolador Tamanho da Memória de Programa Interna define o tamanho da Memória de Programa Externa a ser utilizada.

Leia mais

Ex.: Rotinas de tempo

Ex.: Rotinas de tempo Ex.: Rotinas de tempo ORG 0000h PADRAO EQU 11111110b PORTA EQU P1 VBASE EQU 0800h mov r0,# PADRAO inicio: mov PORTA,r0 ;***********TEMPO DE 1S ************ mov dptr,#vbase ; Tt= 2T espera1: mov r1,#0f2h

Leia mais

Introdução ao PIC. Guilherme Luiz Moritz 1. 6 de novembro de DAELT - Universidade Tecnológica Federal do Paraná

Introdução ao PIC. Guilherme Luiz Moritz 1. 6 de novembro de DAELT - Universidade Tecnológica Federal do Paraná Guilherme Luiz Moritz 1 1 DAELT - Universidade Tecnológica Federal do Paraná 6 de novembro de 2013 Características do PIC Arquitetura Harvard RISC, 35 instruções 8 a 84 pinos Mais de 180 modelos Vários

Leia mais

14/3/2016. Prof. Evandro L. L. Rodrigues

14/3/2016. Prof. Evandro L. L. Rodrigues SEL 433 APLICAÇÕES DE MICROPROCESSADORES I SEL-433 APLICAÇÕES DE MICROPROCESSADORES I Prof. Evandro L. L. Rodrigues Tópicos do curso Conceitos básicos - Aplicações e utilizações dos microcontroladores

Leia mais

Microcontrolador Assembly UTFPR / DAELN Microcontroladores 1 Prof. Gabriel Kovalhuk

Microcontrolador Assembly UTFPR / DAELN Microcontroladores 1 Prof. Gabriel Kovalhuk Assembly 8051 Num sistema microprocessado, geralmente, não existe um sistema operacional; O programa desenvolvido pelo programador deve cuidar tanto da lógica do programa, bem como da configuração e acesso

Leia mais

Microprocessadores. Movimentação de Dados

Microprocessadores. Movimentação de Dados Aula 19 Microprocessadores Movimentação de Dados Rev. 02 / 2016 Prof. Henrique Roteiro Introdução Aula 19 Movimentação RAM Interna Movimentação RAM Externa Movimentação ROM Problemas de Movimentação Referências

Leia mais

Laboratório de Microprocessadores e Microcontroladores

Laboratório de Microprocessadores e Microcontroladores Escola de Engenharia Elétrica, Mecânica e de Computação Universidade Federal de Goiás Laboratório de Microprocessadores e Microcontroladores Experimento 2: Interrupções Externas e Relés Alunos: Matrícula:

Leia mais

Laboratório de Microprocessadores e Microcontroladores

Laboratório de Microprocessadores e Microcontroladores Escola de Engenharia Elétrica, Mecânica e de Computação Universidade Federal de Goiás Laboratório de Microprocessadores e Microcontroladores Experimento 6: Comunicação Serial Alunos: Matrícula: Prof. Dr.

Leia mais

SEL-433 APLICAÇÕES DE MICROPROCESSADORES I

SEL-433 APLICAÇÕES DE MICROPROCESSADORES I SEL 433 APLICAÇÕES DE MICROPROCESSADORES I SEL-433 APLICAÇÕES DE MICROPROCESSADORES I Apresentação do curso Critério de avaliação Média final = 0.8 * MP + 0.2 * ME onde MP = (P1 + P2) / 2 e ME = Notas

Leia mais

UTFPR Departamento Acadêmico de Eletrônica Curso Técnico em Eletrônica Microcontroladores 1 - Prof. Cion nov/2010 (atualizado em jul 2015)

UTFPR Departamento Acadêmico de Eletrônica Curso Técnico em Eletrônica Microcontroladores 1 - Prof. Cion nov/2010 (atualizado em jul 2015) UTFPR Departamento Acadêmico de Eletrônica Curso Técnico em Eletrônica Microcontroladores 1 - Prof. Cion nov/2010 (atualizado em jul 2015) Interrupção e Contadores/Temporizadores Este texto apresenta uma

Leia mais

SEMINÁRIO ASSEMBLY: Arquitetura 8051

SEMINÁRIO ASSEMBLY: Arquitetura 8051 UNIVERSIDADE FEDERAL DE SANTA CATARINA CENTRO TECNOLÓGICO DEPARTAMENTO DE INFORMÁTICA E ESTATÍSTICA Curso de Ciências da Computação SEMINÁRIO ASSEMBLY: Arquitetura 8051 Fernando Takeshi Sato Diego Schmitt

Leia mais

Laboratório de Microprocessadores e Microcontroladores

Laboratório de Microprocessadores e Microcontroladores Escola de Engenharia Elétrica, Mecânica e de Computação Universidade Federal de Goiás Laboratório de Microprocessadores e Microcontroladores Experimento 5: Comunicação Serial Alunos: Matrícula: Prof. Dr.

Leia mais

Nível do Conjunto de Instruções Prof. Edson Pedro Ferlin

Nível do Conjunto de Instruções Prof. Edson Pedro Ferlin 1 Definições Nível ISA (Instruction Set Architecture). Está posicionado entre o nível da microarquitetura e o nível do sistema operacional. É a interface entre o software e o hardware. Nesse nível está

Leia mais

SEL-0415 Introdução à Organização de Computadores Aula 2 Prof. Dr. Marcelo Andrade da Costa Vieira

SEL-0415 Introdução à Organização de Computadores Aula 2 Prof. Dr. Marcelo Andrade da Costa Vieira SEL-0415 Introdução à Organização de Computadores Conceitos Básicos Aula 2 Prof. Dr. Marcelo Andrade da Costa Vieira INTRODUÇÃO n Organização Æ implementação do hardware, componentes, construção dos dispositivos

Leia mais

17/04/2017. Comunicação Serial. Comunicação Serial. Comunicação de Dados em Microprocessadores. . Comunicação Paralela. Comunicação Serial

17/04/2017. Comunicação Serial. Comunicação Serial. Comunicação de Dados em Microprocessadores. . Comunicação Paralela. Comunicação Serial DESLOCAMENTO DESLOCAMENTO 1/0/01 SEL- APLICAÇÕES DE MICROPROCESSADORES I Comunicação de Dados em Microprocessadores. Comunicação Paralela Tipos de Comunicação FONTE DADOS READY STROBE DESTINO Distância

Leia mais

Laboratório de Microprocessadores e Microcontroladores

Laboratório de Microprocessadores e Microcontroladores Escola de Engenharia Elétrica, Mecânica e de Computação Universidade Federal de Goiás Laboratório de Microprocessadores e Microcontroladores Experimento 2: Interrupções Externas e Relés Alunos: Matrícula:

Leia mais

Microprocessadores I ELE Conjunto de Instruções do Microprocessador 8085 Aula 9 - PILHA E SUBROTINAS -

Microprocessadores I ELE Conjunto de Instruções do Microprocessador 8085 Aula 9 - PILHA E SUBROTINAS - Microprocessadores I ELE 1078 Conjunto de Instruções do Microprocessador 8085 Aula 9 - PILHA E SUBROTINAS - 9.1 - Grupos de Instruções As instruções no 8085 são distribuídas em 5 grupos: 1. Grupo de transferência

Leia mais

MICROPROCESSADORES E MICROCONTROLADORES Parte 2 Microcontrolador 8051

MICROPROCESSADORES E MICROCONTROLADORES Parte 2 Microcontrolador 8051 MICROPROCESSADORES E MICROCONTROLADORES Parte 2 Microcontrolador 8051 José Wilson Lima Nerys Página: www.emc.ufg/~jwilson Emails: jwlnerys@gmail.com e jose_wilson_nerys@ufg.br Prof. José Wilson 1 Lima

Leia mais

1. Instruções de Desvio

1. Instruções de Desvio 1. Instruções de Desvio Def.: Estas instruções permitem que, de forma condicional ou não, seja feito um desvio na seqüência de uma programa. O desvio é efetuado fazendo com que o valor carregado no PC

Leia mais

MICROPROCESSADORES E MICROCONTROLADORES Parte 2 Microcontrolador 8051

MICROPROCESSADORES E MICROCONTROLADORES Parte 2 Microcontrolador 8051 MICROPROCESSADORES E MICROCONTROLADORES Parte Microcontrolador 805 José Wilson Lima Nerys Página: www.emc.ufg/~jwilson Emails: jwlnerys@gmail.com e jose_wilson_nerys@ufg.br Prof. José Wilson Lima Nerys

Leia mais

Aula 5: Introdução a Arquitetura do Microcontrolador 8051

Aula 5: Introdução a Arquitetura do Microcontrolador 8051 Microprocessadores - 2013-1 06/05/2013 Aula 5: Introdução a Arquitetura do Microcontrolador 8051 Professor: Eraldo Silveira e Silva eraldo@ifsc.edu.br 1 Objetivos da Aula apresentar as principais características

Leia mais

MICROPROCESSADORES E MICROCONTROLADORES PROVA 2 (Tema: Microcontrolador 8051)

MICROPROCESSADORES E MICROCONTROLADORES PROVA 2 (Tema: Microcontrolador 8051) MICROPROCESSADORES E MICROCONTROLADORES PROVA 2 (Tema: Microcontrolador 8051) 1. Tema: programação geral do microcontrolador 8051. Valor: 2,0 A Figura a seguir mostra um sistema no qual um motor de passo

Leia mais

Aula 4 Conjunto de Instruções do Microprocessador 8085 Grupo Aritmético

Aula 4 Conjunto de Instruções do Microprocessador 8085 Grupo Aritmético Microprocessadores I Aula 4 Conjunto de Instruções do Microprocessador 8085 Grupo Aritmético 4.1 - Grupos de Instruções As instruções no 8085 são distribuídas em 5 grupos: 1. Grupo de transferência da

Leia mais

208 = D0 h TMOD. MOV TMOD,#20h ;TMOD = Timer 1 no ;Modo 2, controle por software SCON. ;Canal Serial

208 = D0 h TMOD. MOV TMOD,#20h ;TMOD = Timer 1 no ;Modo 2, controle por software SCON. ;Canal Serial Exercício 1 Fazer um programa em Assembly do 8051 que informe a temperatura de um forno. O programa deve enviar inicialmente um String em ASCII armazenado na área de memória de programa a partir do endereço

Leia mais

Microcontroladores (µcs) e microprocessadores (µps) A família 80C51. 80C51: Arquitectura do núcleo de base. Os µcs da família 80C51

Microcontroladores (µcs) e microprocessadores (µps) A família 80C51. 80C51: Arquitectura do núcleo de base. Os µcs da família 80C51 A família 80C51 Organização: Microcontroladores versus microprocessadores Os microcontroladores da família 80C51 Interface com o exterior Modelo de programação Processamento dos pedidos de interrupção

Leia mais

2.4 Temporização da CPU

2.4 Temporização da CPU 2.4 Temporização da CPU Todos os Microcontroladores da família MCS-51 têm um oscilador interno. Para uso deste devese conectar um cristal entre os pinos Xtal1 e Xtal2. Pode-se também utilizar um oscilador

Leia mais

Microprocessadores I ELE Aula 7 Conjunto de Instruções do Microprocessador 8085 Desvios

Microprocessadores I ELE Aula 7 Conjunto de Instruções do Microprocessador 8085 Desvios Microprocessadores I ELE 1078 Aula 7 Conjunto de Instruções do Microprocessador 8085 Desvios Grupos de Instruções do 8085 As instruções no 8085 são distribuídas em 5 grupos: 1. Grupo de transferência da

Leia mais

Laboratório de Microprocessadores e Microcontroladores

Laboratório de Microprocessadores e Microcontroladores Escola de Engenharia Elétrica, Mecânica e de Computação Universidade Federal de Goiás Laboratório de Microprocessadores e Microcontroladores Experimento 2: Teclado e Rotação de LEDs Alunos: Matrícula:

Leia mais

CAPÍTULO 4 CONJUNTO DE INSTRUÇÕES

CAPÍTULO 4 CONJUNTO DE INSTRUÇÕES CAPÍTULO 4 CONJUNTO DE INSTRUÇÕES 4.1. INTRODUÇÃO Todos os membros da família MCS-51 executam o mesmo conjunto de instruções. As instruções são otimizadas para aplicações de controle de 8 bits. Elas permitem

Leia mais

Ex.: Rotinas de tempo

Ex.: Rotinas de tempo Ex.: Rotinas de tempo ORG 0000h PORTA EQU P1 VBASE EQU 0800h Inicio: mov a,# 0ffh volta: mov PORTA,a cpl a ljmp volta end Microcontroladores - Prof: Demantova 1 Ex.: Rotinas de tempo ORG 0000h PORTA EQU

Leia mais