EPUSP PCS 3335 Laboratório Digital A. Introdução ao VHDL

Documentos relacionados
Calculadora Simples em VHDL

EPUSP PCS 2355 Laboratório Digital. Contadores em VHDL

EPUSP PCS 2011/2305/2355 Laboratório Digital SOMADORES DECIMAIS

Interface com Sensor Ultrassônico de Distância

CALCULADORA SIMPLES COM ULA

EPUSP PCS 2011 Laboratório Digital GERADOR DE SINAIS

Multiplicador Binário com Sinal

EPUSP PCS 2011/2305/2355 Laboratório Digital CALCULADORA SIMPLES

SIMULAÇÃO DE CIRCUITOS

EPUSP PCS 2011/2305/2355 Laboratório Digital. Frequencímetro

EPUSP PCS 2021 Laboratório Digital II. Sistema de Radar

EPUSP PCS 2011/2305/2355 Laboratório Digital CALCULADORA SIMPLES

Projeto de Circuitos Aritméticos

CONTROLE PARA SEMÁFOROS DE UM CRUZAMENTO

CONTROLE PARA SEMÁFOROS DE UM CRUZAMENTO

Painel Luminoso com LEDs

Introdução ao Laboratório Digital

Introdução ao Laboratório Digital

Introdução ao Laboratório Digital

Livro texto: VHDL- Descrição e Síntese de Circuitos Digitais Roberto D Amore Editora LTC

Uma Introdução às Linguagens de Descrição de Hardware

Parte # 2 - Circuitos Combinatórios

Painel Luminoso com LEDs

Pontifícia Universidade Católica Federal do Rio de Santa Grande Catarina do Sul

Somadores Binários E.T.M./2005 (revisão)

DISPOSITIVOS LÓGICOS PROGRAMÁVEIS - DLP. 18/04/2016 Prof. Alexandre - ELP1DLP1 / DEE

FPGA & VHDL. Tutorial Aula 1. Computação Digital

Divisão de Engenharia Eletrônica Laboratório de ELE-20

Plano de Aula 26/8/13. VHDL - Visão Geral. Obje%vos: VHDL - Visão Geral. Descrição de circuito digital em VHDL

Exercícios de Laboratório 1

Verificador de Senhas

Plano de Ensino. Leandro Schwarz Endereço eletrônico:

EPUSP PCS 2011 Laboratório Digital GERADOR DE SINAIS

DISPOSITIVOS LÓGICOS PROGRAMÁVEIS. SEL Sistemas Digitais Prof. Homero Schiabel

Resumo teórico INTRODUÇÃO AOS DISPOSITIVOS LÓGICOS PROGRAMÁVEIS

Plano de Ensino. Leandro Schwarz Endereço eletrônico:

1 Objetivos. 2 Material utilizado. 3 Normas de segurança e conduta no laboratório. 4 Contextualização. Pág 1/6

Unidade Lógica e Aritmética

SIMULAÇÃO DE CIRCUITOS E DISPOSITIVOS PROGRAMÁVEIS

Tópicos Avançados em Sistemas Computacionais: Infraestrutura de Hardware Aula 02

CAPÍTULO 3 CIRCUITOS SEQUENCIAIS I: REGISTRADORES

12/11/13. Obje%vos do laboratório. SST20707 Síntese de Sistemas de Telecomunicações. Síntese de máquinas de estado (FSM) Finite State Machine (FSM)

Sistema de Aquisição de Dados

EPUSP PCS 2355 Laboratório Digital. Contadores em VHDL

PCS 2304 PROJETO LÓGICO DIGITAL 19/05/2006 Gabarito Preliminar 6 a Lista de Exercícios Contadores

Laboratório 6 (Trabalho com Relatório) Semana 25 de Outubro a 29 de Outubro

PROJETO DE SISTEMAS DIGITAIS

ATIVIDADES PRÁTICAS SUPERVISIONADAS

Introdução ao VHDL. Circuitos Lógicos. DCC-IM/UFRJ Prof. Gabriel P. Silva. Original por Ayman Wahba

Turma PCS2304. Objetivos. Site da disciplina. Professor Edson Midorikawa Edson T. Midorikawa 25/02/2008.

Introdução a Sistemas Digitais

UFMT. Ministério da Educação UNIVERSIDADE FEDERAL DE MATO GROSSO PRÓ-REITORIA DE ENSINO DE GRADUAÇÃO PLANO DE ENSINO

low): Descreve o que o sistema deve fazer utilizando expressões lógicas.

Eletrônica Digital II

Circuitos Seqüenciais

Introdução à Linguagem VHDL

EXPERIÊNCIA 8 CIRCUITOS ARITMÉTICOS: SOMADORES SUBTRATORES

Introdução. VHDL: VHSIC Hardware Description Language. Origem: VHSIC: Very High Speed Integrated Circuits. Departamento de Defesa EUA

Desenvolvimento com a placa Altera DE1 Prof. Rodrigo de Paula Rodrigues

Operações com números binários

Pré-Laboratório (Para ser entregue no início da aula prática)

Eletrônica Digital II

EPUSP - PCS 2308/ LABORATÓRIO DIGITAL LÓGICA PROGRAMÁVEL

Desenvolvimento Sistemático de Projetos de Circuitos Digitais

Funcionamento Área Desempenho (velocidade) Potência Aula 1. Circuitos Digitais. Circuitos Digitais

Circuitos Digitais. Tipos de circuitos digitais: Circuitos combinacionais Circuitos sequenciais

Organização e Arquitetura de Computadores I

Gustavo G. Parma. Objetivos: O aluno deverá ser capaz de compreender os conceitos básicos de VHDL.

APRESENTAÇÃO DO KIT CPLD_EE01

MINISTÉRIO DA EDUCAÇÃO CEFET/SC - Unidade de São José. Curso Técnico em Telecomunicações REGISTRADORES. Marcos Moecke. São José - SC,

Escola Politécnica de Pernambuco Departamento de Engenharia Elétrica PROGRAMA EMENTA OBJETIVOS

Prof. José Arthur da Rocha. Departamento de Engenharia Eletrônica e de Computação Escola Politécnica / UFRJ

3 a Lista de Exercícios

INTRODUÇÃO À ARQUITETURA E ORGANIZAÇÃO DE COMPUTADORES. Função e Estrutura. Introdução Organização e Arquitetura. Organização e Arquitetura

14/3/2016. Prof. Evandro L. L. Rodrigues

Aula Expositiva 03. DCC 001 Programação de Computadores 2 o Semestre de 2011 Prof. Osvaldo Carvalho DCC

PROJETO DE SISTEMAS DIGITAIS

Aula 17. Máquina de Estados Parte 1. SEL Sistemas Digitais. Prof. Dr. Marcelo Andrade da Costa Vieira

CIRCUITO PARA VERIFICAÇÃO DE SENHAS

Universidade Federal do Pará Instituto de Tecnologia Faculdade de Engenharia Elétrica. Eletrônica Digital. Plano de Ensino 2015_2

UNIVERSIDADE FEDERAL DO CEARÁ DEPARTAMENTO DE ENGENHARIA EM TELEINFORMÁTICA DISCIPLINA: PROJETO LÓGICO DIGITAL PROFESSOR: ALEXANDRE COELHO

Apresentação da Disciplina Prof. Rômulo Calado Pantaleão Camara. Carga Horária: 60h

SISTEMAS DIGITAIS LETI, LEE Ano lectivo de 2015/2016 Trabalho 4 Módulos Sequenciais: Contadores e Registos

4.a Aula Prática Projeto de um Somador de 16 bits com a Linguagem VHDL

UFJF FABRICIO CAMPOS

2 a Lista de Exercícios

Departamento de Engenharia Elétrica e de Computação SEL 405 Lab. de Introdução aos Sistemas Digitais I Profa. Luiza Maria Romeiro Codá PRÁTICA Nº 6

Introdução ao módulo LabVIEW FPGA

ELETRÔNICA DIGITAL APLICADA Aula 8- Registradores de deslocamento como contadores

EXPERIÊNCIA 7 MUX e DEMUX

Curso Superior de Sistemas de Telecomunicações Unidade São José. Disciplina: Síntese de Sistemas de Telecomunicações 7º Fase

Implementação de Funções Lógicas com Multiplexadores e Decodificadores

Arquitetura e organização de computadores Uma visão geral

Introdução à Linguagem VHDL

SSC510 Arquitetura de Computadores 1ª AULA

Barramento. Prof. Leonardo Barreto Campos 1

X Y Z A B C D

Eletrônica Digital II. Flip-Flop s. Prof. Msc. Getúlio Teruo Tateoki 1

Divisão de Engenharia Eletrônica Laboratório de ELE-20. Experiência 4: Síntese e Análise de uma Unidade Lógica Aritmética (ULA)

Processador nanopcs-1

Transcrição:

Introdução ao VHDL Versão 2016 RESUMO Esta experiência consiste no estudo de descrições VHDL e no projeto e implementação de um circuito digital simples. São apresentados aspectos básicos da linguagem VHDL e exemplos de descrição de circuitos. Os vários componentes do projeto devem ser sintetizados e testados no dispositivo lógico programável Altera Cyclone II EP2C35F672C6. OBJETIVOS Após a conclusão desta experiência, os seguintes tópicos devem ser conhecidos pelos alunos: Linguagem de descrição de hardware; Descrições VHDL; Projeto com FPGA. 1. PARTE TEÓRIA 1.1. Linguagens de Descrição de Hardware Uma alternativa à entrada esquemática de um circuito digital em um sistema de projeto auxiliado por computador é utilizar uma ferramenta de projeto baseado em texto ou linguagem de descrição de hardware (HDL). Exemplos de HDLs são o AHDL (Altera Hardware Description Language) e os padrões internacionais VHDL e Verilog. O projetista cria um arquivo de texto, seguindo certo conjunto de regras, conhecido como sintaxe da linguagem, e usa um compilador para criar dados de programação do dispositivo lógico programável (PLD). Esta descrição de hardware pode ser usada para gerar projetos hierárquicos, ou seja, um componente definido em uma descrição pode ser usado para gerar um hardware específico ou ser usado como parte de outro projeto em um nível hierárquico superior. As HDLs têm uma grande semelhança às linguagens de programação, mas são especificamente orientadas à descrição da estrutura e do comportamento do hardware. Uma grande vantagem das HDLs em relação à entrada esquemática é que elas podem representar diretamente equações booleanas, tabelas verdade e operações complexas (p.ex. operações aritméticas). Um circuito de hardware pode ser descrito de várias formas, de acordo com o nível de abstração usado ou objetivo da descrição. Uma descrição estrutural descreve a interconexão entre os componentes que fazem parte do circuito. Esta descrição é usada como entrada para uma simulação lógica da mesma forma que uma entrada esquemática. Uma descrição comportamental descreve o funcionamento lógico de cada um dos componentes do circuito. Em relação ao tipo de descrição anterior, a descrição comportamental é realizada em um nível de abstração mais alto. Uma HDL pode ser usada na descrição em vários níveis do circuito em desenvolvimento. Partindo de uma descrição de alto nível, pode ser usada para refinar e particionar esta descrição em outras de nível mais baixo durante o processo de desenvolvimento. A descrição final deve conter componentes primitivos e blocos funcionais. Uma grande razão para o uso de HDLs é a síntese lógica. Uma descrição em HDL em conjunto com uma biblioteca de componentes é usada por uma ferramenta de síntese para a geração automática de um circuito digital. Além disto, estas ferramentas incluem uma etapa de otimização da lógica interna do circuito gerado, antes da geração das estruturas internas de armazenamento, da lógica combinatória e da estrutura de conexão dos componentes (netlist). A figura 1.1 abaixo mostra um diagrama mostrando as etapas principais de síntese lógica. Atualmente, as HDLs mais utilizadas são o VHDL e o Verilog. Ambas as linguagens são hoje padrões aprovados e publicados pelo IEEE (Instituto dos Engenheiros Elétricos e Eletrônicos), tendo, portanto, várias ferramentas comerciais disponíveis. Esta padronização leva a uma grande vantagem no desenvolvimento de circuitos usando HDLs: a portabilidade. Visto que as ferramentas devem implementar as características padronizadas, fica muito fácil usar sistemas de desenvolvimento de fabricantes diferentes. Introdução ao VHDL (2016) 1

Descrição HDL do Circuito Tradução Representação Intermediária Otimização Geração de netlist 1.2. A Linguagem VHDL Figura 1.1 - Fluxo das etapas de alto nível da Síntese Lógica. O nome VHDL é um acrônimo de VHSIC Hardware Description Language. Já o termo VHSIC é o acrônimo de Very High Speed Integrated Circuit. Assim podemos traduzir, de forma literal, o nome VHDL como "linguagem de descrição de hardware para circuitos integrados de velocidade muito alta". A linguagem VHDL foi originalmente desenvolvida por empresas contratadas pelo governo americano e agora é um padrão requerido por todos os ASICs (Application Specific Integrated Circuits) projetados para o exército americano. Ele foi padronizado pelo IEEE em 1987 (Padrão 1076-1987 ou VHDL 87) e atualizado posteriormente em 1993, em 2003 e em 2008 (Padrão IEEE 1076-2008). Todo arquivo VHDL requer ao menos duas estruturas: uma declaração de entidade e uma arquitetura. A declaração de entidade define os aspectos externos da função VHDL, isto é, os nomes e tipos das entradas e saídas e o nome da função. A arquitetura define os aspectos internos, isto é, como as entradas e saídas influem no funcionamento e como se relacionam com outros sinais internos. Um exemplo de uma descrição VHDL é mostrado a seguir na figura 1.2. Figura 1.2 Descrição comportamental de um somador binário de 4 bits. Os sinais de entrada e saída são do tipo std_logic e std_logic_vector. O tipo std_logic é definido no pacote ieee.std_logic_1164 e pode assumir os valores 'U', 'X', '0', '1', 'Z', 'W', 'L', 'H' ou '-' 1. O tipo std_logic_vector representa um vetor de bits. O somador possui 3 sinais de entrada, A, B e C0, e 2 sinais de saída, S e C4. São usados dois operadores: + representa uma adição e & representa uma concatenação de bits. Assim, '0'&A representa um vetor de 5 bits contendo '0', A(3), A(2), A(1) e A(0). Após a realização de uma operação de adição com os sinais de entrada, a descrição atribui o bit mais significativo de soma a C4 (vai-um) e os bits restantes em S (soma). A descrição acima é um exemplo de uma descrição comportamental. O texto apresenta elementos lógicos de um somador binário de 4 bits e a ferramenta de projeto é responsável pela síntese do circuito digital para, por exemplo, uma FPGA. A figura 1.3 ilustra uma representação do circuito sintetizado pela ferramenta de software Quartus II da Altera, para uma FPGA da família Cyclone II. 1 Se o objetivo for a síntese do circuito em uma FPGA, somente os valores 0, 1 e Z são relevantes. Os outros valores são interessantes nas etapas de simulação para verificação da corretude do projeto. Introdução ao VHDL (2016) 2

Figura 1.3 Visão RTL do circuito somador sintetizado pelo Altera Quartus II. Uma descrição estrutural do somador binário de 4 bits equivalente ao exemplo anterior deve levar em conta os elementos internos do circuito digital. Por exemplo, podemos considerar este somador de 4 bits contendo 4 somadores completos de 1 bit interligados entre si como ilustrado na figura 1.4. Figura 1.4 Somador binário de 4 bits composto por 4 somadores completos de 1 bit. Inicialmente apresentamos a descrição do somador completo de 1 bit de forma comportamental. Esta descrição é mostrada abaixo (figura 1.5). Figura 1.5 Descrição comportamental VHDL de um somador completo de 1 bit. Uma vez disponível, o circuito do somador completo pode ser reutilizado para a descrição do somador binário de 4 bits. Para isto, deve ser definido como um componente e usado como um bloco básico: devem ser instanciados 4 elementos e suas entradas e saídas devem ser interligadas através de sinais internos. A seguir apresentamos o código VHDL do somador binário de 4 bits em descrição estrutural (figura 1.5). É importante observar que durante a descrição da arquitetura do somador, o somador completo de 1 bit é definido o componente interno da descrição e, no corpo principal, são criadas 4 instâncias deste componente, identificados como S1, S2, S3 e S4. A construção port map especifica como os sinais de entrada e saída de cada instância do somador completo são interligados com os sinais de entrada e de saída do circuito e entre os próprios componentes, através do uso de sinais internos. Por exemplo, o primeiro somador S1 é ligado nos sinais de entrada a(0), b(0) e c(0). O resultado da soma é ligado na saída s(0) e o resultado de vai-um é ligado no sinal interno vai_um(0), que é, em seguida, conectado em uma das entradas do segundo somador S2. Introdução ao VHDL (2016) 3

Figura 1.5 Descrição VHDL estrutural de um somador binário de 4 bits composto por 4 somadores completos de 1 bit. Para o desenvolvimento de circuitos sequenciais, a linguagem VHDL possui recursos específicos. O trecho de código abaixo apresenta a descrição de um flip-flop tipo D sensível à borda de subida com entrada de reset assíncrono (figura 1.6). Figura 1.6 Descrição VHDL de um flip-flop D. O trecho de código na especificação da arquitetura inclui um processo. Esta construção da linguagem VHDL representa um módulo de circuito sensível aos sinais CLK e CLR: quando qualquer um destes sinais for modificado, o código é executado. Inicialmente o sinal CLR é verificado e se assumir valor 1, a saída Q é resetada. Em caso contrário, se houve uma borda de subida de CLK, a entrada D é copiada para a saída 2. 2 Ao se analisar este trecho de código, pode parecer que houve um erro de digitação com a presença dos sinais Q e q. Não é o caso, pois o VHDL não faz distinção entre letras maiúsculas e minúsculas. Então tanto Q como q representam o mesmo sinal no circuito. Introdução ao VHDL (2016) 4

Em uma descrição VHDL, todos os comandos são concorrentes, ou seja, o processamento deles é simultâneo. Não há uma ordem específica nos comandos na descrição. Contudo dentro de um processo, os comandos são sequenciais, ou seja, seguem a mesma ordenação ou sequência de execução de comandos em uma linguagem de programação tradicional. Assim, na descrição do flip-flop D acima, a saída Q é modificada somente depois do teste do sinal CLR. A partir da descrição do flip-flop tipo D podemos elaborar a descrição de um registrador de 4 bits da figura 1.7. A descrição segue de forma similar a descrição da figura 1.6, exceto a criação de um sinal interno à arquitetura, IQ, para manter o valor da saída Q e o acréscimo do sinal de entrada CLKEN que controla o armazenamento do sinal de entrada D para o registrador. Figura 1.7 Descrição VHDL de um registrador de 4 bits. Assim como o somador de 4 bits, é possível criar uma descrição estrutural de um registrador de 4 bits a partir de um flip-flop tipo D. De forma similar à descrição da figura 1.5, é necessário criar quatro instâncias do flip-flop e as conexões internas de sinais. A elaboração da descrição VHDL deverá ser feita como preparação da experiência. Um exemplo mais complexo é um registrador deslocador bidirecional com funções de reset assíncrono e carga paralela. A figura 1.8 ilustra a interface externa do componente. d[3] d[2] d[1] d[0] modo msi registrador deslocador bidirecional lsi q[3] q[2] q[1] q[0] Figura 1.8 Registrador deslocador bidirecional. O código VHDL é composto de um processo apenas e utiliza um sinal interno chamado estado para armazenar o conteúdo intermediário das operações do deslocador. Para as operações de deslocamento de bits, usa-se o operador de concatenação de bits &. A execução dos deslocamentos para a direita e para a esquerda concatenam os bits relevantes do deslocador e realizam a atribuição ao sinal estado. A seguir temos a descrição completa do deslocador bidirecional (figura 1.9). Mais detalhes sobre a linguagem VHDL podem ser obtidos nas referências [Wakerly, 2006] e [D Amore, 2012]. Introdução ao VHDL (2016) 5

Figura 1.9 Descrição VHDL de um registrador deslocador bidirecional. Introdução ao VHDL (2016) 6

2. PARTE EXPERIMENTAL A parte experimental desta experiência é dividida em três partes: na primeira, é feita a familiarização com as descrições apresentadas na seção anterior. Em seguida, deve ser realizada uma experimentação com a ferramenta de projetos em VHDL do Quartus II. E finalmente, um pequeno circuito digital deve ser projetado e implementado utilizando o dispositivo Altera Cyclone II EP2C35F672C6. 2.1. Atividades Pré-Laboratório a) Familiarização com as descrições fornecidas. Estudar as descrições VHDL fornecidas na seção 1 da apostila e acrescentar comentários às linhas do código fonte. Por exemplo, na figura 1.1, a linha 17 especifica que o 5º bit do vetor soma é atribuído à saída C4 do somador. Documente os códigos no Planejamento e acrescente outras observações pertinentes. b) A partir do estudo anterior, elaborar uma descrição estrutural do registrador de 4 bits, usando como componente interno um flip-flop tipo D baseado na descrição da figura 1.6. Inserir comentários no código fonte da descrição. c) Familiarização com o projeto de circuitos em VHDL com o Altera Quartus II. A ferramenta de projeto do Quartus II deve ser usada para implementar circuitos digitais. Recomenda-se a leitura da apostila Projeto de Circuitos com Quartus II 9.1 e do documento Quartus II Introduction Using VHDL Designs disponível na página web da Altera. d) Realizar o estudo e a simulação das descrições dos componentes dos itens anteriores. O Planejamento deve incluir as figuras das simulações realizadas. e) Para cada projeto, sintetizar o circuito para o dispositivo Altera Cyclone II EP2C35F672C6 com as designações de sinais aos pinos da FPGA abaixo. Somador Flip-flop Registrador sinal pino sinal pino sinal pino A chaves SW0 a SW3 CLK GPIO_1[0] CLK GPIO_1[0] B chaves SW4 a SW7 CLR GPIO_1[1] CLKEN GPIO_1[1] C0 chave SW8 D chave SW0 CLR GPIO_1[2] S leds LEDR0 a LEDR3 Q led LEDR0 D chaves SW0 a SW3 C4 led LEDR4 Q leds LEDR0 a LEDR3 f) Especificação do Projeto: Projetar o circuito digital da figura 2.1 usando uma descrição VHDL estrutural. Ele consiste de um somador binário e de registrador de 4 bits que armazena o valor do último resultado de soma. Uma das entradas do somador vem do registrador e a outra de chaves de entrada ENT. Todos os dados têm 4 bits. Use os componentes anteriores no projeto. Figura 2.1 Diagrama de blocos do circuito digital. Introdução ao VHDL (2016) 7

As operações deste circuito são controladas por sinais de entrada: reset: zera o valor do registrador (assíncrono e ativo em alto); enable: sinal de controle de armazenamento do registrador (síncrono e ativo em alto); clock: sinal de clock do registrador. g) Elaborar um plano de testes, identificando sinais de depuração necessários para verificar o funcionamento do circuito projetado. h) Acrescentar no planejamento simulações verificando o correto projeto do circuito. Use os casos de teste elaborados no item anterior. Anexe as formas de onda no Planejamento. 2.2. Implementação das Descrições de Componentes i) Usando o software Quartus II, implemente os projetos de cada uma das descrições VHDL das seções 2.1.c-e) na placa de desenvolvimento DE2 da Altera com as designações de sinais do projeto. j) Os sinais de entrada enable, reset e clock deverão ser gerados com o dispositivo Analog Discovery da Digilent. Use a aplicação Static I/O do programa WaveForms, e selecione a função de botão. Atenção: ligar o sinal GND do Analog Discovery ao pino de GND do conector GPIO da placa DE2, antes de ligar os sinais do circuito. k) Programe cada projeto e teste seu funcionamento na placa DE2. Anote os resultados experimentais observados. DICA: Prepare uma seção separada para cada descrição (ou projeto) no Planejamento para a anotação dos resultados experimentais. 2.3. Implementação do Projeto Exemplo l) Usando o software Quartus II, sintetize o projeto do circuito digital projetado na placa de desenvolvimento DE2 da Altera com a seguinte designação de sinais: entrada[0..3] : chaves SW0 a SW3 enable : GPIO_1[0] reset: GPIO_1[1] clock: GPIO_1[2] saida[0..3] : leds verdes LEDG0 a LEDG3 DICA: Sinais de depuração podem ser designados em outros leds disponíveis na placa DE2. Documente a escolha no Planejamento. m) Os sinais de entrada enable, reset e clock deverão ser gerados com o dispositivo Analog Discovery da Digilent. Use a aplicação Static I/O do programa WaveForms. Atenção: ligar o sinal GND do Analog Discovery ao pino de GND do conector GPIO da placa DE2, antes de ligar os sinais do circuito. n) Execute o plano de testes planejado e documente os resultados obtidos no relatório. o) Se a ENTRADA assumir valor 0001 e 1111, quais são os comportamentos observados da saída do circuito? Cite uma aplicação do circuito. 2.4. Modificação do Projeto Base p) Uma pequena modificação ou adaptação do projeto base poderá ser solicitada. Esta modificação deverá ser implementada no circuito projetado pelo grupo. A descrição e a documentação desta modificação devem ser incluídas no relatório. q) Faça uma demonstração do circuito ao professor. Introdução ao VHDL (2016) 8

2.5. Atividades Pós-Laboratório r) Após a conclusão das atividades programadas, responda as perguntas abaixo: 1. Houve diferença no funcionamento dos somadores de 4 bits com descrição comportamental e com descrição estrutural? 2. Cite duas semelhanças e duas diferenças entre o projeto de um circuito digital usando captura esquemática e componentes discretos SSI e MSI e usando uma linguagem de descrição de hardware. 3. O que deve ser feito para modificar o projeto do circuito da figura 2.1 para tratar valores de 8 bits? Explique. 4. Compare um contador hexadecimal e o circuito estudado nesta experiência. Explique. 3. BIBLIOGRAFIA 1. ALTERA. Quartus II Introduction Using VHDL Designs. University Program. 2010. Disponível em: ftp://ftp.altera.com/up/pub/altera_material/9.1/tutorials/vhdl/quartus_ii_introduction.pdf 2. D AMORE, R. VHDL - Descrição e síntese de circuitos digitais. 2ª edição, LTC, 2012. 3. MENEZES, M.P.; SATO, L.M.; MIDORIKAWA, E.T. Projeto de Circuitos com Quartus II 9.1. Apostila de Laboratório Digital. Departamento de Engenharia de Computação e Sistemas Digitais, Escola Politécnica da USP. Edição de 2011. 4. MIDORIKAWA, E.T. Introdução às Linguagens de Descrição de Hardware. Apostila de PCS2304 Projeto Lógico Digital, 2007. 5. PCS-EPUSP. Calculadora Simples. Apostila de Laboratório Digital, Escola Politécnica da USP, 2008. 6. RANZINI, E.; HORTA, E. L.; MIDORIKAWA, E. T. Projeto de circuitos com MAX+PLUS II. Apostila de Laboratório Digital. Departamento de Engenharia de Computação e Sistemas Digitais, Escola Politécnica da USP. 2002. 7. RANZINI, E.; HORTA, E. L. Introdução aos Dispositivos Lógicos Programáveis. Apostila de Laboratório Digital. Escola Politécnica da USP, 2000 (revisão em 2011). 8. TOCCI, R. J.; WIDMER, N. S.; MOSS, G. L. Digital Systems: principles and applications. 11 th ed., Prentice-Hall, 2011. 9. WAKERLY, John F. Digital Design Principles & Practices. 4 th edition, Prentice Hall, 2006. 4. EQUIPAMENTOS NECESSÁRIOS 1 placa de desenvolvimento FPGA DE2 da Altera com o dispositivo Altera Cyclone II EP2C35F672C6. 1 computador PC com programa Altera Quartus II e interface USB. 1 dispositivo Analog Discovery da Digilent. Histórico de Revisões E.T.M./2012 versão inicial. E.T.M./2013 revisão. E.T.M./2014 revisão. E.T.M./2015 revisão. E.T.M./2016 revisão e adaptação. Introdução ao VHDL (2016) 9