Nível da Lógica Digital

Tamanho: px
Começar a partir da página:

Download "Nível da Lógica Digital"

Transcrição

1 Nível da Lógica Digital (Aula 7) Circuitos Lógicos Digitais Básicos

2 Circuitos Integrados CIs (chips/pastilhas) Agrupa portas lógicas Pastilhas de silício DIP (Dual Inline Package) Invóculo c/ 2 linhas de pinos Tipos (de acordo c/ a densidade) SSI (Small-Scale Integration ): 1-10 portas MSI (Medium ): LSI (Large ): VLSI (Very-large ): > ULSI (Utra Large...): > A tecnologia atual permitiria então colocar 5x10 6 de portas NAND em um chip Por que não produzir chips genéricos deste tipo 2

3 Circuitos Combinacionais Circuitos com várias entradas e várias saídas, em que as saídas dependem apenas dos valores presentes das entradas Sem memória Ex.: Função Maioria 3

4 Multiplexadores (1) Um Multiplexador (MUX) é um circuito com 2 n entradas de Dados n entradas de Controle (i.e. código de n bits) uma saída de dados O código de n bits das entradas de controle efetua a seleção de uma das entradas de dados. A entrada selecionada é roteada (selecionada) para a saída. n = 2 n = 3 4

5 Multiplexadores (2) Multiplexadores podem também ser considerados conjuntos (módulos) combinacionais universais Função Maioria 5 Prof a

6 Multiplexadores (3) Exemplo de aplicação: Conversão paralelo-série de dados de 8 bits Transferência de 8 linhas de entrada para 1 linha de saída 8 bits em paralelo nas entradas Alternar sequencialmente os valores de 000 até 111 nas linhas de controle Os bits da entrada são colocados em série na saída Usado na implementação de um teclado Conceito usado em telecomunicações 6

7 Multiplexadores (4) Controle e roteamento de sinais - Controla qual dispositivo entre a cpu e os canais de dados, pode fazer acesso à memória 7 Prof a

8 Demultiplexadores (1) Inverso de um multiplexador Um Demultiplexador (Distribuidor) possui única linha de entrada de dados n entradas de controle 2 n saídas Roteia o sinal presente em sua para uma de suas, de acordo com o código de n bits das entradas de controle (variáveis de seleção). Se o valor binário nas linhas de controle for k, a k-ésima saída é selecionada. O MUX e DMUX selecionam o caminho de dados. 8

9 Demultiplexadores (2) 9

10 Decodificadores (1) Um Decodificador é um circuito que recebe na entrada um número de n bits, utilizando-o para selecionar exatamente uma das suas 2 n linhas de saída. Uma palavra de código de entrada ativa apenas a linha de saída associada. As outras permanecem desativadas. No máximo, uma saída binária (y i, por exemplo) é igual a 1 Converte um código binário para um código 1-entre-2 n Cada linha de saída é associada exclusivamente a uma palavra de código correspondente. Um decodificador binário e a porta OR formam um conjunto universal. Um decodificador binário é usado para identificar e habilitar um elemento dentre um conjunto de elementos codificados. Eg: seleção de um dentre vários chips Memória c/ 4 chips -> 2 bits mais significativos selecionam o chip através de um decodificador 10

11 Decodificadores (2) 11

12 Decodificadores (3) 12

13 Decodificadores (4) Escolha de uma célula para operação de leitura/escrita. Sistemas de Programação I 2007/2 13 a

14 Decodificadores (5) Determinar a operação especificada em uma instrução 14 Prof a

15 Codificadores (1) Realiza a função inversa de decodificador. Converte um código 1-entre-2 n para um código binário. Possui 2 n entradas No máximo, uma das entradas (x i, por exemplo) pode ser igual a 1 n saídas Entrada adicional (enable - E) Saída adicional (active A, Módulo ativo) permite detectar a situação em que nenhuma das entradas está 15

16 Codificadores (2) 16

17 Codificador de Prioridade (1) Um Codificador de Prioridade pode ter diversas entradas com o valor 1. A saída representa, em um código binário, o índice da entrada de mais alta prioridade que tem o valor 1. A prioridade é uma ordenação fixa implementada pelo codificador. Pode ser construído utilizando-se 2 subsistemas: Subsistema de Resolução de Prioridade (determina a entrada de maior prioridade, mantendo-a com valor 1 e mudando para 0 as demais entradas que tenham valor 1) Codificador Binário 17

18 Codificador de Prioridade (2) Sistemas de Programação I 2007/

19 Codificador de Prioridade (4) Usado na interrupção de computadores Resolver pedidos de interrupção a um processador usando um codificador de prioridades 19 Prof a

20 Comparadores (1) Um circuito Comparador compara duas palavras que lhe são entregues na entrada. Princípio baseado na porta XOR (EXCLUSIVE OR) Coloca 0 na saída se suas entradas forem iguais Coloca 1 na saída se forem diferentes Uma porta NOR indica o resultado da comparação Se as duas palavras forem iguais Todas as saídas da XOR serão 0 20

21 Comparadores (2) Existem também redes de comparadores em que a saída pode assumir valores do conjunto (G,E,S) (Greater, Equal, Smaller) 21

22 Circuitos Aritméticos Deslocadores (Shifters) A saída é a entrada deslocada de 1 bit A linha de controle C determina a direção do deslocamento 0 para a esquerda e 1 para a direita 22 Prof a

23 Deslocadores (2) 23

24 Somadores (1) Não se pode imaginar um computador sem um somador Meio-Somador Adequado para somar os bits de mais baixa ordem de duas palavras 24 Prof a

25 Somadores (2) Somador Completo Observe que ele é formado por dois meio-somadores 25 Prof a Roberta L.G. - LPRM/DI/UFES

26 Somadores (3) Somadores de 16 ou de 32 bits Somador com Carry Propagado (Transporte Propagado) Basta replicar 16 ou 32 vezes o somador completo de 1 bit O vai-1 de uma posição é usado como vem-1 da posição seguinte O vem-1 da posição mais à direita deve ser fixado em 0 A soma não se completa até que o carry tenha percorrido todo o caminho entre a posição do bit mais à direita e a posição do bit mais à esquerda (há um retardo associado) 26 Prof a

27 Somadores (4) Somador de Transporte Antecipado Determina os valores de todos os vem-1 para os módulos somadores completos (Gerador de Transporte Antecipado - GTA) Em seguida, computa simultaneamente todos os bits do resultado 27

28 Unidades Lógicas Aritméticas ULAs (1) Um circuito único, presente em muitos processadores, que realiza as operações lógicas básicas (AND, OR, NOT,...) e operações aritméticas, de acordo com valores de linhas de Seleção de Função. ULAs de 1 bit podem ser agrupadas em ULAs de quantos bits sejam necessários 28

29 ULAs (2) ENA: enable A ENB: enable B INVA: invert A 29 Prof a Roberta L.G. - LPRM/DI/UFES

30 Unidades Lógicas Aritméticas ULAs (3) Sinais de entrada (A 0..7 e B 0..7 ). Sinais de Controle (F 0 e F 1 ). Sinais de Saída (O 0..1 ). O sinal INC incrementa o resultado de 1 unidade Possibilitando somas como: (A + 1) e (A + B + 1). 30

31 Unidades Lógicas Aritméticas ULAs (4) Parte do datapath (busca da próxima instrução) palavras de 32bits, células de 1 byte ADD Instruction <- MEM[PC] PC <- PC PC ADDR Memory RD Instruction 31

32 Unidades Lógicas Aritméticas ULAs (5) Exemplo muito simples de um datapath (caminho de dados) Load: lw $rt, offset($rs) Register rt <- MEM[rs+offset] 32 Prof a

33 Clocks (1) Um clock é um circuito que emite uma série de pulsos do mesmo tamanho, a intervalos precisos entre pulsos consecutivos. Período do Clock: intervalo de tempo entre duas transições consecutivas dos pulsos do clock Os clocks são utilizados para se estabelecer relações de tempo necessárias na ocorrência de eventos O período do clock pode ser dividido em sub-períodos Se há vários eventos ocorrendo em um mesmo período de clock e eles precisam ser ordenados 1. Transição positiva de C1 2. Transição negativa de C1 3. Transição positiva de C2 4. Transição negativa de C2 33

34 Clocks (2) Sinais de clock são simétricos O tempo Gasto no estado alto é igual ao tempo gasto no estado baixo Para gerar pulsos assimétricos, basta colocar como entrada de uma porta AND o sinal de clock o sinal com retardo 34

35 Referências Andrew S. Tanenbaum, Organização Estruturada de Computadores, 5ª edição, Prentice-Hall do Brasil, John L. Hennessy and David A. Patterson, Arquitetura de Computadores: Uma Abordagem Quantitativa. 3ª edição. Editora Campus, Milos Ercegovac, Tomas Lang, Jaime H. Moreno. Introdução aos Sistemas Digitais. Bookman Porto Alegre, 2000 ISBN

Organização e Arquitetura de Computadores. A Arquitetura no nível da lógica digital Prof.: Hugo Barros

Organização e Arquitetura de Computadores. A Arquitetura no nível da lógica digital Prof.: Hugo Barros Organização e Arquitetura de Computadores A Arquitetura no nível da lógica digital Prof.: Hugo Barros email@hugobarros.com.br Portas Lógicas e Álgebra de Boole Circuitos digitais o Construídos a partir

Leia mais

ARITMÉTICA BINÁRIA. Adão de Melo Neto

ARITMÉTICA BINÁRIA. Adão de Melo Neto ARITMÉTICA BINÁRIA Adão de Melo Neto 1 Sumário Adição Multiplicação Subtração Divisão Complemento de 1 Complemento de 2 Representação de um número com sinal Sinal magnitude Complemento de 2 Valor em decimal

Leia mais

Circuitos Combinacionais Prof. Rômulo Calado Pantaleão Camara. Carga Horária: 2h/60h

Circuitos Combinacionais Prof. Rômulo Calado Pantaleão Camara. Carga Horária: 2h/60h Circuitos Combinacionais Prof. Rômulo Calado Pantaleão Camara Carga Horária: 2h/60h Circuitos Combinacionais Nessa aula será abordados os seguintes conceitos: Circuitos Exclusive-OR e Exclusive-NOR; Escalas

Leia mais

Nível da Lógica Digital (Aula 6) Portas Lógicas e Lógica Digital Nível da Lógica Digital Estudar vários aspectos da lógica digital Base de estudo para os níveis mais elevados da hierarquia das máquinas

Leia mais

Transistor. Portas Lógicas (2) Base; Coletor; Emissor.

Transistor. Portas Lógicas (2) Base; Coletor; Emissor. Nível da Lógica Digital Nível da Lógica Digital (Aula 6) Portas Lógicas e Lógica Digital Estudar vários aspectos da lógica digital Base de estudo para os níveis mais elevados da hierarquia das máquinas

Leia mais

Introdução à Computação: Máquinas Multiníveis

Introdução à Computação: Máquinas Multiníveis Introdução à Computação: Máquinas Multiníveis Beatriz F. M. Souza (bfmartins@inf.ufes.br) http://inf.ufes.br/~bfmartins/ Computer Science Department Federal University of Espírito Santo (Ufes), Vitória,

Leia mais

Parte # 2 - Circuitos Combinatórios

Parte # 2 - Circuitos Combinatórios CEFET Departamento de Engenharia Elétrica - DEPEL GELE 7163 Eletrônica Digital Parte # 2 - Circuitos Combinatórios 1 GELE 7163 Eletrônica Digital 2 Referências : Notas de Aula. Mendonça, Alexandre e Zelenovsky,

Leia mais

Parte # 5 - Circuitos Combinacionais

Parte # 5 - Circuitos Combinacionais CEFET Departamento de Engenharia Elétrica - DEPEL GELE 7163 Eletrônica Digital Parte # 5 - Circuitos Combinacionais 1 GELE 7163 Eletrônica Digital 2 Referências : Notas de Aula. Mendonça, Alexandre e Zelenovsky,

Leia mais

Eletrônica Digital I TE050. Circuitos Combinacionais

Eletrônica Digital I TE050. Circuitos Combinacionais Universidade Federal do Paraná Setor de Tecnologia Departamento de Engenharia Elétrica Eletrônica Digital I TE5 Circuitos Combinacionais Prof. Lúcio Mauro M. Tonon Circuitos Combinacionais Circuitos Combinacionais

Leia mais

DECODIFICADORES. Capítulo 5

DECODIFICADORES. Capítulo 5 Capítulo 5 DECODIFICADORES 5.1 Decodificadores... 73 5.1.1 Decodificador 2-para-4, com saídas ativas em alto... 73 5.1.2 Decodificador 2-para-4, com saídas ativas em baixo... 74 5.1.3 Decodificadores 3-para-8...

Leia mais

Circuitos Combinacionais

Circuitos Combinacionais ! Circuitos Combinacionais x Sequenciais Combinacional - saídas dependem unicamente das entradas Entradas Circuito Combinacional Saídas Sequencial -háuma realimentação da saída para a entrada, denominada

Leia mais

Funcionamento Área Desempenho (velocidade) Potência Aula 1. Circuitos Digitais. Circuitos Digitais

Funcionamento Área Desempenho (velocidade) Potência Aula 1. Circuitos Digitais. Circuitos Digitais INF01058 Objetivo Circuitos igitais Projetar circuitos digitais: Combinacionais Sequências Testar a analisar circuitos digitais Introdução Funcionamento Área esempenho (velocidade) Potência Aula 1 Mundo

Leia mais

Circuitos Combinacionais

Circuitos Combinacionais Circuitos Combinacionais Circuito combinacional: Possui portas lógicas conectadas para produzir valor dos sinais de saída Não possui armazenamento de valores no circuito Valor dos sinais de saída depende

Leia mais

Processador: Conceitos Básicos e Componentes

Processador: Conceitos Básicos e Componentes Processador: Conceitos Básicos e Componentes Cristina Boeres Instituto de Computação (UFF) Fundamentos de Arquiteturas de Computadores Material baseado nos slides de Fernanda Passos Cristina Boeres (IC/UFF)

Leia mais

Organização e Arquitetura de Computadores I

Organização e Arquitetura de Computadores I Universidade Federal de Campina Grande Departamento de Sistemas e Computação Curso de Bacharelado em Ciência da Computação Organização e Arquitetura de Computadores I Circuitos Lógicos Combinacionais (Parte

Leia mais

X Y Z A B C D

X Y Z A B C D 29) A seguinte tabela verdade corresponde a um circuito combinatório de três entradas e quatro saídas. Obtenha a tabela de programação para o circuito em um PAL e faça um diagrama semelhante ao apresentado

Leia mais

Circuitos Combinacionais. Arquitetura de Computadores I

Circuitos Combinacionais. Arquitetura de Computadores I Circuitos Combinacionais Arquitetura de Computadores I Roteiro } Introdução } Gerador e Verificador de Paridade } Comparadores } Circuitos aritméticos } Somador (Half Adder e Full Adder) } Subtrator (Meio

Leia mais

CALCULADORA SIMPLES COM ULA

CALCULADORA SIMPLES COM ULA CALCULADORA SIMPLES COM ULA Versão 2013 RESUMO 1 Esta experiência tem por objetivo a utilização de circuitos integrados de operações lógicas e aritméticas para o desenvolvimento de circuitos que executam

Leia mais

Organização e Arquitetura de Computadores I

Organização e Arquitetura de Computadores I Universidade Federal de Campina Grande Departamento de Sistemas e Computação Curso de Bacharelado em Ciência da Computação Organização e Arquitetura de Computadores I Circuitos Lógicos Sequenciais (Parte

Leia mais

Todo processador é constituído de circuitos capazes de realizar algumas operações primitivas:

Todo processador é constituído de circuitos capazes de realizar algumas operações primitivas: Todo processador é constituído de circuitos capazes de realizar algumas operações primitivas: Somar e subtrair Mover um dado de um local de armazenamento para outro Transferir um dado para um dispositivo

Leia mais

Unidade Central de Processamento (CPU) Processador. Bernardo Gonçalves Introdução ao Computador 2008/01

Unidade Central de Processamento (CPU) Processador. Bernardo Gonçalves Introdução ao Computador 2008/01 Unidade Central de Processamento (CPU) Processador Bernardo Gonçalves Introdução ao Computador 2008/01 Componentes de um Computador (1) Computador Eletrônico Digital É um sistema composto por: Memória

Leia mais

CAPÍTULO 4 CAMINHO DE DADOS E CONTROLE

CAPÍTULO 4 CAMINHO DE DADOS E CONTROLE CAPÍTULO 4 CAMINHO DE DADOS E CONTROLE Introdução Uma implementação MIPS básica Sinopse da implementação Sinais de controle Multiplexadores (muxes) Implementação monociclo Metodologia de clocking Construindo

Leia mais

Opções de Design para Circuitos Integrados CMOS

Opções de Design para Circuitos Integrados CMOS Opções de Design para Circuitos Integrados CMOS Para implementar um circuito integrado (CI) em CMOS é possível escolher entre as múltiplas possibilidades existentes no mercado. A escolha deve ser feita

Leia mais

Codificadores e Decodificadores Prof. Rômulo Calado Pantaleão Camara

Codificadores e Decodificadores Prof. Rômulo Calado Pantaleão Camara Codificadores e Decodificadores Prof. Rômulo Calado Pantaleão Camara Carga Horária: 2h/60h Decodificadores - Um decodificador binário completo é um módulo que tem n entradas e 2 n saídas; - A cada instante

Leia mais

Multiplexadores e Demultiplexadores

Multiplexadores e Demultiplexadores Multiplexadores e Demultiplexadores Multiplexador (MUX) é um circuito combinacional dedicado com a finalidade de selecionar, por meio de variáveis de seleção, uma de suas entradas, conectando-a à uma saída.

Leia mais

ÁLGEBRA BOOLEANA E LÓGICA DIGITAL AULA 04 Arquitetura de Computadores Gil Eduardo de Andrade

ÁLGEBRA BOOLEANA E LÓGICA DIGITAL AULA 04 Arquitetura de Computadores Gil Eduardo de Andrade ÁLGEBRA BOOLEANA E LÓGICA DIGITAL AULA 04 Arquitetura de Computadores Gil Eduardo de Andrade O conteúdo deste documento é baseado no livro Princípios Básicos de Arquitetura e Organização de Computadores

Leia mais

UFMG DCC Álgebra de Boole. Slides ligeiramente adaptados daqueles do professor Osvaldo Farhat de Carvalho, DCC, UFMG

UFMG DCC Álgebra de Boole. Slides ligeiramente adaptados daqueles do professor Osvaldo Farhat de Carvalho, DCC, UFMG UFMG DCC001 2013-1 1 Álgebra de Boole Slides ligeiramente adaptados daqueles do professor Osvaldo Farhat de Carvalho, DCC, UFMG UFMG DCC001 2013-1 2 Bits e informação Representamos números, caracteres,

Leia mais

Processador. Processador

Processador. Processador Departamento de Ciência da Computação - UFF Processador Processador Prof. Prof.Marcos MarcosGuerine Guerine mguerine@ic.uff.br mguerine@ic.uff.br 1 Processador Organização básica de um computador: 2 Processador

Leia mais

Organização de Sistemas de Computadores

Organização de Sistemas de Computadores Organização de Sistemas de Computadores Cap. 2 (Tanenbaum), Cap. 3 (Weber) 2.1 Processadores 1 CPU UC = buscar instruções na memória principal e determinar o seu tipo ULA = adição e AND Registradores =

Leia mais

Arquiteturas Sequencias. Evolução dos Computadores BIBLIOGRAFIA BÁSICA. Organização e Arquitetura de Computadores

Arquiteturas Sequencias. Evolução dos Computadores BIBLIOGRAFIA BÁSICA. Organização e Arquitetura de Computadores Organização e Arquitetura Computadores Organização e Arquiteturas Computadores.Organização Básica Computadores - Histórico da - Organização Básica do Computador - Unida Lógica e Aritmética - Unida - Microprocessador

Leia mais

Apresentação da Disciplina Prof. Rômulo Calado Pantaleão Camara. Carga Horária: 60h

Apresentação da Disciplina Prof. Rômulo Calado Pantaleão Camara. Carga Horária: 60h Apresentação da Disciplina Prof. Rômulo Calado Pantaleão Camara Carga Horária: 60h Introdução à Eletrônica É ciência que estuda a forma de controlar a energia elétrica por meios elétricos nos quais os

Leia mais

ORGANIZAÇÃO DE COMPUTADORES

ORGANIZAÇÃO DE COMPUTADORES Organização de Computadores ORGANIZAÇÃO DE COMPUTADORES Curso: Tecnologia em Gestão da Tecnologia da Informação Ano: 2011 Instrução Precisam ser entendidas pelo processador Precisam ser decodificadas e

Leia mais

EPUSP PCS 2011/2305/2355 Laboratório Digital CALCULADORA SIMPLES

EPUSP PCS 2011/2305/2355 Laboratório Digital CALCULADORA SIMPLES CALCULADORA SIMPLES E.T.M./23 (revisão e adaptaçào) M.D.M. e E.T.M. (revisão) E.T.M./28 (revisão) RESUMO Esta experiência tem por objetivo a utilização de circuitos integrados de soma binária para o desenvolvimento

Leia mais

Funções de Lógica Combinacional

Funções de Lógica Combinacional Fundamentos de Sistemas Digitais Funções de Lógica Combinacional prof. Dr. Alexandre M. Amory prof. Dr. Edson I. Moreno Referências Sugiro estudarem nesta ordem de preferência: Floyd, Cap 6. ppt segue

Leia mais

Memórias Parte 1. SEL-0415 Introdução à Organização de Computadores. Aula 4. Prof. Dr. Marcelo Andrade da Costa Vieira

Memórias Parte 1. SEL-0415 Introdução à Organização de Computadores. Aula 4. Prof. Dr. Marcelo Andrade da Costa Vieira Departamento de Engenharia Elétrica - EESC-USP SEL-0415 Introdução à Organização de Computadores Memórias Parte 1 Aula 4 Prof. Dr. Marcelo Andrade da Costa Vieira Memória Semicondutora Elemento Básico

Leia mais

CPU Implementação. Multiciclo. Prof. Carlos Bazilio

CPU Implementação. Multiciclo. Prof. Carlos Bazilio CPU Implementação Multiciclo Prof. Carlos Bazilio bazilio@ic.uff.br Até então, tínhamos t... Problemas com Implementação Monociclo Ciclo de clock tem o mesmo tamanho para todas as instruções implementadas;

Leia mais

ESTRUTURA E FUNCIONAMENTO DO COMPUTADOR

ESTRUTURA E FUNCIONAMENTO DO COMPUTADOR ESTRUTURA E FUNCIONAMENTO DO COMPUTADOR Prof. Filippo Valiante Filho http://prof.valiante.info Estrutura do Computador Computador CPU (Central Processing Unit) Sistema de Armazenamento (Memórias) Sistema

Leia mais

Organização e Arquitetura de Computadores INTRODUÇÃO

Organização e Arquitetura de Computadores INTRODUÇÃO Organização e Arquitetura de Computadores INTRODUÇÃO A Arquitetura de Computadores trata do comportamento funcional de um sistema computacional, do ponto de vista do programador (ex. tamanho de um tipo

Leia mais

Arquitetura e Organização de Computadores

Arquitetura e Organização de Computadores Arquitetura e Organização de Computadores Conjunto de Instruções Givanaldo Rocha de Souza http://docente.ifrn.edu.br/givanaldorocha givanaldo.rocha@ifrn.edu.br Material do prof. Sílvio Fernandes - UFERSA

Leia mais

EPUSP PCS 2011/2305/2355 Laboratório Digital CALCULADORA SIMPLES

EPUSP PCS 2011/2305/2355 Laboratório Digital CALCULADORA SIMPLES CALCULADORA SIMPLES E.T.M./2003 (revisão e adaptaçào) M.D.M. e E.T.M./2006 (revisão) E.T.M./2008 (revisão) E.T.M./20 (revisão) RESUMO Esta experiência tem por objetivo a utilização de circuitos integrados

Leia mais

UFMT. Ministério da Educação UNIVERSIDADE FEDERAL DE MATO GROSSO PRÓ-REITORIA DE ENSINO DE GRADUAÇÃO PLANO DE ENSINO

UFMT. Ministério da Educação UNIVERSIDADE FEDERAL DE MATO GROSSO PRÓ-REITORIA DE ENSINO DE GRADUAÇÃO PLANO DE ENSINO UFMT 1) IDENTIFICAÇÃO: Disciplina: Lógica Matemática e Elementos de Lógica Digital Ministério da Educação UNIVERSIDADE FEDERAL DE MATO GROSSO PRÓ-REITORIA DE ENSINO DE GRADUAÇÃO PLANO DE ENSINO Curso:

Leia mais

Aula Expositiva 03. DCC 001 Programação de Computadores 2 o Semestre de 2011 Prof. Osvaldo Carvalho DCC

Aula Expositiva 03. DCC 001 Programação de Computadores 2 o Semestre de 2011 Prof. Osvaldo Carvalho DCC Aula Expositiva 03 2.2.5 Síntese de Circuitos Combinatórios (7 segmentos) 2.2.6 Multiplexadores e Demultiplexadores 2.3 Circuitos Sequenciais 2.3.1 Flip-flops e registradores 2.3.2 Barramentos e Controle

Leia mais

Arquitetura e Organização de Computadores

Arquitetura e Organização de Computadores UNIVERSIDADE FEDERAL RURAL DO SEMI-ÁRIDO DEPARTAMENTO DE CIÊNCIAS EXATAS E NATURAIS CURSO DE CIÊNCIA DA COMPUTAÇÃO Arquitetura e Organização de Computadores Conjunto de Instruções Prof. Sílvio Fernandes

Leia mais

Introdução a Sistemas Digitais

Introdução a Sistemas Digitais Introdução a Sistemas Digitais Definição Sistemas Digitais Projeto Revisão: Circuitos Combinacionais Circuitos Sequênciais Máquinas de Estados Sistemas Digitais Definição Um sistema digital é um sistema

Leia mais

INTRODUÇÃO: MICROCONTROLADORES

INTRODUÇÃO: MICROCONTROLADORES INTRODUÇÃO: MICROCONTROLADORES MICROCONTROLADOR X MICROPROCESSADOR Baixa capacidade de processamento Freq. Operação em MHz Custo de R$ 7,00 a 30,00 Aplicações mais restrita Alta capacidade de processamento

Leia mais

Eletrônica Digital Lista de Exercícios

Eletrônica Digital Lista de Exercícios Eletrônica Digital Lista de Exercícios 1. Preencha a tabela abaixo para cada uma das funções indicadas. x 2 x 1 x 0 x 2 x 1 x 2 +x 1 x 2 x 1 x 2 x 1 + x 0 0 0 0 0 0 1 0 1 0 0 1 1 1 0 0 1 0 1 1 1 0 1 1

Leia mais

Curso Profissional de Técnico de Gestão de Equipamentos Informáticos 10º ANO

Curso Profissional de Técnico de Gestão de Equipamentos Informáticos 10º ANO Planificação Anual 2016/2017 Curso Profissional de Técnico de Gestão de Equipamentos Informáticos SISTEMAS DIGITAIS E ARQUITETURA DE COMPUTADORES 10º ANO 1 MÓDULO 1 - Sistemas de Numeração 32 aulas de

Leia mais

Prof. Benito Piropo Da-Rin. Arquitetura, Organização e Hardware de Computadores - Prof. B. Piropo

Prof. Benito Piropo Da-Rin. Arquitetura, Organização e Hardware de Computadores - Prof. B. Piropo Prof. Benito Piropo Da-Rin UCP ou microprocessador: Circuito Integrado inteligente (capaz de cumprir diferentes funções determinadas por instruções ) CI capaz de efetuar todas as operações necessárias

Leia mais

Tiago Alves de Oliviera

Tiago Alves de Oliviera Tiago Alves de Oliviera 1 Capítulo 6 do Livro do Mario Monteiro Capítulo 5 (5.1, 5.2, 5. e 5.4) do Livro do Patterson 2 Processador executa instruções CPU (central processing unit) UCP (unidade central

Leia mais

COMBINATIONAL DIGITAL CIRCUIT

COMBINATIONAL DIGITAL CIRCUIT COMBINATIONAL DIGITAL CIRCUIT Circuito Digital Combinacional M-1115A *Only illustrative image./imagen meramente ilustrativa./ Imagem meramente ilustrativa. EXPERIMENTS MANUAL Manual de Experimentos Manual

Leia mais

Aula 2. Dispositivos de entrada. Dispositivos controladores. Memórias. Processador

Aula 2. Dispositivos de entrada. Dispositivos controladores. Memórias. Processador 13 Aula 2 Dispositivos de entrada São dispositivos basicamente elétricos que são os responsáveis pela tradução da linguagem natural, humana para sinais elétricos, que posteriormente serão convertidos em

Leia mais

SSC510 Arquitetura de Computadores 1ª AULA

SSC510 Arquitetura de Computadores 1ª AULA SSC510 Arquitetura de Computadores 1ª AULA REVISÃO DE ORGANIZAÇÃO DE COMPUTADORES Arquitetura X Organização Arquitetura - Atributos de um Sistema Computacional como visto pelo programador, isto é a estrutura

Leia mais

Painel Luminoso com LEDs

Painel Luminoso com LEDs Painel Luminoso com LEDs Edson T. Midorikawa/2006 RESUMO Esta experiência consiste no projeto e na implementação do circuito de controle de um painel luminoso composto por LEDs (diodos emissores de luz).

Leia mais

Organização de Computadores

Organização de Computadores Organização de Computadores Aula 28 Operação da Unidade de Controle Rodrigo Hausen 17 de novembro de 2011 http://cuco.pro.br/ach2034 1/44 Apresentação 1. Bases Teóricas 2. Organização de computadores...

Leia mais

INSTITUTO FEDERAL CATARINENSE CÂMPUS LUZERNA CURSO DE EDUCAÇÃO PROFISSIONAL TÉCNICA DE NÍVEL MÉDIO INTEGRADO EM AUTOMAÇÃO INDUSTRIAL

INSTITUTO FEDERAL CATARINENSE CÂMPUS LUZERNA CURSO DE EDUCAÇÃO PROFISSIONAL TÉCNICA DE NÍVEL MÉDIO INTEGRADO EM AUTOMAÇÃO INDUSTRIAL INSTITUTO FEDERAL CATARINENSE CÂMPUS LUZERNA CURSO DE EDUCAÇÃO PROFISSIONAL TÉCNICA DE NÍVEL MÉDIO INTEGRADO EM AUTOMAÇÃO INDUSTRIAL APOSTILA DE SISTEMAS DIGITAIS E PROJETO INTEGRADOR RAFAEL GARLET DE

Leia mais

ORGANIZAÇÃO DE COMPUTADORES CAPÍTULO 6: PROCESSADORES. Prof. Juliana Santiago Teixeira

ORGANIZAÇÃO DE COMPUTADORES CAPÍTULO 6: PROCESSADORES. Prof. Juliana Santiago Teixeira ORGANIZAÇÃO DE COMPUTADORES CAPÍTULO 6: PROCESSADORES Prof. Juliana Santiago Teixeira julianasteixeira@hotmail.com INTRODUÇÃO INTRODUÇÃO O processador é o componente vital do sistema de computação, responsável

Leia mais

Escola Politécnica de Pernambuco Departamento de Engenharia Elétrica PROGRAMA EMENTA OBJETIVOS

Escola Politécnica de Pernambuco Departamento de Engenharia Elétrica PROGRAMA EMENTA OBJETIVOS PROGRAMA Disciplina: ELETRÔNICA DIGITAL Código: ELET0037 Carga Horária Semestral: 60 HORAS Obrigatória: sim Eletiva: Número de Créditos: TEÓRICOS: 04; PRÁTICOS: 00; TOTAL: 04 Pré-Requisito: ELET0033 ELETRONICA

Leia mais

Aula 7: Portas Lógicas: AND, OR, NOT, XOR, NAND e NOR

Aula 7: Portas Lógicas: AND, OR, NOT, XOR, NAND e NOR Aula 7: Portas Lógicas: AND, OR, NOT, XOR, NAND e NOR Conforme discutido na última aula, cada operação lógica possui sua própria tabela verdade. A seguir será apresentado o conjunto básico de portas lógicas

Leia mais

Sistemas de Numeração. Exemplos de Sistemas de Numeração (1) Exemplos de Sistemas de Numeração (2) Sistemas de Numeração

Sistemas de Numeração. Exemplos de Sistemas de Numeração (1) Exemplos de Sistemas de Numeração (2) Sistemas de Numeração Sistemas de Numeração Sistemas de Numeração (Aula Extra) Sistemas de diferentes bases Álgebra Booleana Roberta Lima Gomes - LPRM/DI/UFES Sistemas de Programação I Eng. Elétrica 27/2 Um sistema de numeração

Leia mais

Unidade de Controle. Sinais e temporização da busca de instrução. Prof. Eduardo Appel

Unidade de Controle. Sinais e temporização da busca de instrução. Prof. Eduardo Appel Unidade de Controle Prof Eduardo Appel appel@upftchebr Uma CPU de um microprocessador pode interpretar o conteúdo de uma palavra de memória como sendo o código de instrução Mas pergunta-se: Qual o número

Leia mais

Multiplexadores e Demultiplexadores Prof. Rômulo Calado Pantaleão Camara

Multiplexadores e Demultiplexadores Prof. Rômulo Calado Pantaleão Camara Multiplexadores e Demultiplexadores Prof. Rômulo Calado Pantaleão Camara Carga Horária: 2h/60h Multiplexadores 2 Multiplexadores 3 Multiplexadores 4 Multiplexadores 4 entradas de dados I0, I1, I2, I3 2

Leia mais

4. Modelo de Programação do DLX Introdução

4. Modelo de Programação do DLX Introdução 4. Modelo de Programação do DLX Quero que o matemático Beremiz Samir nos conte uma lenda, ou uma simples fábula, na qual apareça uma divisão de 3 por 3 indicada, mas não efetuada, e outra de 3 por 2, indicada

Leia mais

Organização e Arquitetura de Computadores I

Organização e Arquitetura de Computadores I Universidade Federal de Campina Grande Centro de Engenharia Elétrica e Informática Unidade Acadêmica de Sistemas e Computação Curso de Bacharelado em Ciência da Computação Organização e Arquitetura de

Leia mais

SISTEMAS DIGITAIS CIRCUITOS COMBINATÓRIOS TÍPICOS

SISTEMAS DIGITAIS CIRCUITOS COMBINATÓRIOS TÍPICOS CIRCUITOS COMBINATÓRIOS TÍPICOS Setembro de 4 CIRCUITOS COMBINATÓRIOS TÍPICOS - SUMÁRIO: CODIFICADORES DESCODIFICADORES MULTIPLEXERS DEMULTIPLEXERS SOMADORES / SUBTRACTORES COMPARADORES Setembro de 4 CIRCUITOS

Leia mais

CPU. Funções: Componentes: Processamento; Controle. UC (Unidade de Controle); Registradores; ALU s, FPU s etc. Arquitetura de Computadores 3

CPU. Funções: Componentes: Processamento; Controle. UC (Unidade de Controle); Registradores; ALU s, FPU s etc. Arquitetura de Computadores 3 CPU CPU Funções: Processamento; Controle Componentes: UC (Unidade de Controle); Registradores; ALU s, FPU s etc. Arquitetura de Computadores 3 Processador A função de um computador é executar tarefas

Leia mais

Organização e Arquitetura de Computadores SI31C. Professora Me. Sediane Carmem Lunardi Hernandes

Organização e Arquitetura de Computadores SI31C. Professora Me. Sediane Carmem Lunardi Hernandes Organização e Arquitetura de Computadores SI31C Professora Me. Sediane Carmem Lunardi Hernandes 1 O que é Organização e Arquitetura de Computadores? 2 Organização X Arquitetura Arquitetura Refere-se aos

Leia mais

Organização e Arquitetura de Computadores. Leslier S. Corrêa

Organização e Arquitetura de Computadores. Leslier S. Corrêa Organização e Arquitetura de Computadores Leslier S. Corrêa O nome refere-se ao matemático John Von Neumann, que foi considerado o criador dos computadores da forma como são projetados até hoje. Entretanto,

Leia mais

Introdução à Engenharia de Computação

Introdução à Engenharia de Computação Introdução à Engenharia de Computação Tópico: O Computador como uma Máquina Multinível (cont.) José Gonçalves - LPRM/DI/UFES Introdução à Engenharia de Computação Máquina Multinível Moderna Figura 1 Máquina

Leia mais

Arquitetura de Computadores

Arquitetura de Computadores Arquitetura de Computadores Prof. Eduardo Simões de Albuquerque Instituto de Informática UFG 1o. Semestre / 2006 Adaptado do material do prof. Fábio Moreira Costa Programa e Introdução Assunto do curso

Leia mais

Circuitos Combinacionais Básicos

Circuitos Combinacionais Básicos ORGANIZAÇÃO E ARQUITETURA DE COMPUTADORES I Circuitos Combinacionais Básicos Descrição VHDL prof. Dr. César Augusto M. Marcon prof. Dr. Edson Ifarraguirre Moreno 2 / 17 Circuitos combinacionais básicos

Leia mais

Organização de Computadores

Organização de Computadores Faculdades SENAC Sistemas de Informação 27 de fevereiro de 2008 Contextualizando Aritmética Binária Os Computadores e as calculadoras digitais realizam várias operações aritméticas sobre números representados

Leia mais

DISCIPLINA: Laboratório de Arquitetura e Organização de Computadores II

DISCIPLINA: Laboratório de Arquitetura e Organização de Computadores II DISCIPLINA: Laboratório de Arquitetura e Organização de Computadores II CÓDIGO: ECOM.08 Validade: a partir do 1º semestre de 007 Carga Horária: Total: 30 h/a Semanal: 0 aulas Créditos: 0 Modalidade: Prática

Leia mais

Arquitetura e Organização de Computadores

Arquitetura e Organização de Computadores Arquitetura e Organização de Computadores Introdução Givanaldo Rocha de Souza http://docente.ifrn.edu.br/givanaldorocha givanaldo.rocha@ifrn.edu.br Material do prof. Sílvio Fernandes - UFERSA O que é isso?

Leia mais

Painel Luminoso com LEDs

Painel Luminoso com LEDs Painel Luminoso com LEDs Versão 2007 RESUMO Esta experiência consiste no projeto e na implementação do circuito de controle de um painel luminoso composto por LEDs (diodos emissores de luz). Através da

Leia mais

Operações com números binários

Operações com números binários Operações com números binários Operações com sistemas de numeração Da mesma forma que se opera com os números decimais (somar, subtrair, multiplicar e dividir) é possível fazer essas mesmas operações com

Leia mais

ENGC40 - Eletrônica Digital

ENGC40 - Eletrônica Digital ENGC40 - Eletrônica Digital 1 a Lista de Exercícios Prof. Paulo Farias 1 de setembro de 2011 1. A Figura 1 mostra um circuito multiplicador que recebe dois números binários x 1 x 0 e y 1 y 0 e gera a saída

Leia mais

Organização de computadores. Prof. Moisés Souto

Organização de computadores. Prof. Moisés Souto Organização de computadores Prof. Moisés Souto Moisés Souto docente.ifrn.edu.br/moisessouto professor.moisessouto.com.br moises,souto@ifrn.edu.br @moises_souto Aula 06 CICLO DE INSTRUÇÕES Unidade Central

Leia mais

As Gerações dos Computadores. Professor Leonardo Cabral da R. Soares

As Gerações dos Computadores. Professor Leonardo Cabral da R. Soares As Gerações dos Computadores Professor Leonardo Cabral da R. Soares Primeira Geração (1946 1954) A primeira geração de computadores utilizava válvulas eletrônicas e possuía dimensões gigantescas. Um dos

Leia mais

Aula 15: Ciclo de Execução e Introdução ao Pipeline

Aula 15: Ciclo de Execução e Introdução ao Pipeline Aula 15: Ciclo de Execução e Introdução ao Pipeline Diego Passos Universidade Federal Fluminense Fundamentos de Arquiteturas de Computadores Diego Passos (UFF) Ciclo de Execução; Intro ao Pipeline FAC

Leia mais

Chips Processadores (2) Nível da Lógica Digital (Aula 9) Barramentos. Chips Processadores (3)

Chips Processadores (2) Nível da Lógica Digital (Aula 9) Barramentos. Chips Processadores (3) Nível da Lógica Digital (Aula 9) Barramentos Roberta Lima Gomes - LPRM/DI/UFES Sistemas de Programação I Eng. Elétrica 2007/2 Chips Processadores (1) Cada processador possui uma pinagem característica.

Leia mais

Introdução a Computação

Introdução a Computação O que é um computador? Um computador é uma coleção de componentes que realizam operações lógicas e aritméticas sobre um grande volume de dados. (F. K. Miyazawa) Um computador é composto por: Introdução

Leia mais

Circuitos Digitais Cap. 5

Circuitos Digitais Cap. 5 Circuitos Digitais Cap. 5 Prof. José Maria P. de Menezes Jr. Objetivos Aritmética Digital Adição Binária Subtração Binária Representação de números com sinal Complemento de 2 Negação Subtração como soma

Leia mais

Conceitos Básicos Processador

Conceitos Básicos Processador Infra-Estrutura de Hardware Conceitos Básicos Processador Prof. Edilberto Silva www.edilms.eti.br edilms@yahoo.com Sumário Conceitos básicos Organização da CPU Execução das Instruções RISC x CISC Paralelismo

Leia mais

Arquitetura de Computadores. Professor: Vilson Heck Junior (Material: Douglas Juliani)

Arquitetura de Computadores. Professor: Vilson Heck Junior (Material: Douglas Juliani) Arquitetura de Computadores Professor: Vilson Heck Junior (Material: Douglas Juliani) Agenda Conceitos Componentes Funcionamento ou tarefas Otimização e desempenho Conceitos Componente de Hardware que

Leia mais

Unidade Lógica e Aritmética

Unidade Lógica e Aritmética Unidade Lógica e Aritmética J.L.R.B. e P.S.C./2001 (revisão) E.T.M./2002 (revisão e adaptação) E.T.M./2003 (revisão) E.T.M./2005 (revisão) E.T.M./2008 (revisão) E.T.M./2011 (revisão) RESUMO Esta experiência

Leia mais

INSTITUTO FEDERAL CATARINENSE CÂMPUS LUZERNA CURSO DE EDUCAÇÃO PROFISSIONAL TÉCNICA DE NÍVEL MÉDIO INTEGRADO EM AUTOMAÇÃO INDUSTRIAL

INSTITUTO FEDERAL CATARINENSE CÂMPUS LUZERNA CURSO DE EDUCAÇÃO PROFISSIONAL TÉCNICA DE NÍVEL MÉDIO INTEGRADO EM AUTOMAÇÃO INDUSTRIAL INSTITUTO FEDERAL CATARINENSE CÂMPUS LUZERNA CURSO DE EDUCAÇÃO PROFISSIONAL TÉCNICA DE NÍVEL MÉDIO INTEGRADO EM AUTOMAÇÃO INDUSTRIAL APOSTILA DE SISTEMAS DIGITAIS E PROJETO INTEGRADOR RAFAEL GARLET DE

Leia mais

Sistemas Digitais Circuitos Combinatórios Típicos

Sistemas Digitais Circuitos Combinatórios Típicos Sistemas Digitais Circuitos Combinatórios Típicos João Paulo Baptista de Carvalho (Prof. Auxiliar do IST) joao.carvalho@inesc.pt Descodificadores Um descodificador é um circuito combinatório que permite,

Leia mais

ORGANIZAÇÃO DE COMPUTADORES MÓDULO 18

ORGANIZAÇÃO DE COMPUTADORES MÓDULO 18 ORGANIZAÇÃO DE COMPUTADORES MÓDULO 18 Índice 1. Álgebra Booleana e Portas lógicas - Continuação...3 1.1. Exemplos de Circuitos Básicos - II... 3 1.1.1. Somador completo... 3 1.1.2. Relógios... 4 2. Glossário...4

Leia mais

Unidade Central de Processamento UCP (CPU)

Unidade Central de Processamento UCP (CPU) Unidade Central de Processamento UCP (CPU)! Arquitetura Convencional (Von Neumann) UCP BARRAMENTO MEMÓRIA PRINCIPAL ENTRADA E SAÍDA ! Visão geral da CPU UC - UNIDADE DE CONTROLE REGISTRADORES A B C D ALU

Leia mais

Exemplo somador de 3 bits

Exemplo somador de 3 bits Exemplo somador de 3 bits 3 números de 1 bit Ci i i Full adder Si Ci1 LS número de 2 bits (pode ser 0, 1, 2 ou 3) MS Escrever uma expressão booleana para as funções Si(Ci,i,i) e Ci1(Ci, i, i) Desenhar

Leia mais

14/3/2016. Prof. Evandro L. L. Rodrigues

14/3/2016. Prof. Evandro L. L. Rodrigues SEL 433 APLICAÇÕES DE MICROPROCESSADORES I SEL-433 APLICAÇÕES DE MICROPROCESSADORES I Prof. Evandro L. L. Rodrigues Tópicos do curso Conceitos básicos - Aplicações e utilizações dos microcontroladores

Leia mais

LABORATÓRIO DE ELETRÔNICA DIGITAL Experiência 5: Análise de Circuitos Multiplexadores e Demultiplexadores

LABORATÓRIO DE ELETRÔNICA DIGITAL Experiência 5: Análise de Circuitos Multiplexadores e Demultiplexadores 22 1.Objetivos Utilizar um circuito multiplexador e um demultiplexador como elementos básicos de um sistema de transmissão de uma palavra de 8 bits. Utilizar o multiplexador para implementar uma função

Leia mais

Arquitetura de Computadores Circuitos Combinacionais, Circuitos Sequênciais e Organização de Memória

Arquitetura de Computadores Circuitos Combinacionais, Circuitos Sequênciais e Organização de Memória Introdução Arquitetura de Computadores Circuitos Combinacionais, Circuitos Sequênciais e O Nível de lógica digital é o nível mais baixo da Arquitetura. Responsável pela interpretação de instruções do nível

Leia mais

ARQUITETURA E ORGANIZAÇÃO DE COMPUTADORES A UNIDADE LÓGICA ARITMÉTICA E AS INSTRUÇÕES EM LINGUAGEM DE MÁQUINA

ARQUITETURA E ORGANIZAÇÃO DE COMPUTADORES A UNIDADE LÓGICA ARITMÉTICA E AS INSTRUÇÕES EM LINGUAGEM DE MÁQUINA ARQUITETURA E ORGANIZAÇÃO DE COMPUTADORES A UNIDADE LÓGICA ARITMÉTICA E AS INSTRUÇÕES EM LINGUAGEM DE MÁQUINA Prof. Dr. Daniel Caetano 2012-2 Objetivos Conhecer o processador Compreender os registradores

Leia mais

DISCIPLINA: Arquitetura e Organização de Computadores I

DISCIPLINA: Arquitetura e Organização de Computadores I DISCIPLINA: Arquitetura e Organização de Computadores I CÓDIGO: Período Letivo: 1 o Semestre / 01 Carga Horária: Total: 0 horas Semanal: 0 aulas Créditos: 0 Modalidade: Teórica Classificação do Conteúdo

Leia mais

Adriano J. Holanda FAFRAM. 4 e 11 de maio de 2012

Adriano J. Holanda FAFRAM. 4 e 11 de maio de 2012 Memória Adriano J. Holanda FAFRAM 4 e 11 de maio de 2012 Trilha Introdução Hierarquia da memória Memórias RAM Memória ROM Introdução Arquitetura de Von Neumann Memória Aritmética Controle E/S Unidade central

Leia mais

Projeto de Circuito Combinacional

Projeto de Circuito Combinacional ORGANIZAÇÃO E ARQUITETURA DE COMPUTADORES I Projeto de Circuito Combinacional Unidade Lógica e Aritmética (ULA) prof. Dr. César Augusto M. Marcon prof. Dr. Edson Ifarraguirre Moreno 2 / 12 ULA Unidade

Leia mais

Sistemas Processadores e Periféricos Aula 2 - Revisão

Sistemas Processadores e Periféricos Aula 2 - Revisão Sistemas Processadores e Periféricos Aula 2 - Revisão Prof. Frank Sill Torres DELT Escola de Engenharia UFMG Adaptado a partir dos Slides de Organização de Computadores 2006/02 do professor Leandro Galvão

Leia mais

Organização e Arquitetura de Computadores I

Organização e Arquitetura de Computadores I Organização e Arquitetura de Computadores I Caminho de Dados Slide 1 Sumário Introdução Convenções Lógicas de Projeto Construindo um Caminho de Dados O Controle da ULA Projeto da Unidade de Controle Principal

Leia mais

INTRODUÇÃO À ARQUITETURA E ORGANIZAÇÃO DE COMPUTADORES. Função e Estrutura. Introdução Organização e Arquitetura. Organização e Arquitetura

INTRODUÇÃO À ARQUITETURA E ORGANIZAÇÃO DE COMPUTADORES. Função e Estrutura. Introdução Organização e Arquitetura. Organização e Arquitetura Introdução Organização e Arquitetura INTRODUÇÃO À ARQUITETURA E ORGANIZAÇÃO DE COMPUTADORES Eduardo Max Amaro Amaral Arquitetura são os atributos visíveis ao programador. Conjunto de instruções, número

Leia mais