Dispositivos Lógicos Programáveis (PLD) A. Mariano

Tamanho: px
Começar a partir da página:

Download "Dispositivos Lógicos Programáveis (PLD) A. Mariano - 2012 1"

Transcrição

1 Dispositivos Lógicos Programáveis (PLD) A. Mariano

2 Agenda Introdução Visão Geral PLD Evolução Arquiteturas Chaves de Programação FPGA vs ASIC Fundamentos FPGA Fluxo de projeto A. Mariano

3 PLD x Componentes Discretos X Redução do tamanho das placas de circuito impresso; Diminuição do consumo de energia; Processos de fabricação e projetos mais rápidos e baratos Aumento da confiabilidade dos sistemas menos conexões sujeitas a falhas; Facilidade de manutenção A. Mariano

4 PLD (Programmable Logic Devices) CI com grande número de unidades lógicas Portas lógicas, Flip-flops, registradores (processadores em versões sofisticadas) Pode ser gravado inúmeras vezes Associados a memórias EEPROM/SRAM Utilizados em projetos de média e grande complexidade Viabilidade econômica A. Mariano

5 Definições (1/2) FPD (Field Programmable Device) é o termo geral para qualquer tipo de circuito digital que pode ser configurado. Também conhecido como PLD. PLA (Programmable Logic Array) é um pequeno PLD que contém dois níveis de portas lógicas, um plano E e um plano OU, onde ambos são programáveis. PAL (Programmable Array Logic) é um pequeno PLD que tem um plano de portas lógicas E programável seguido por um plano OU fixo. SPLD (Simple PLD) refere-se a qualquer tipo simples de PLD, PLA ou PAL. CPLD (Complex PLD) PLD mais complexo que consiste no arranjo de múltiplos blocos SPLD em um único chip. Outras nomes empregados são Enhaced PLD EPLD, Super PAL e Mega PAL. FPGA (Field Programmable Gate Array) é um PLD com uma estrutura que permite uma capacidade lógica muito grande. Enquanto CPLDs possuem um grande número de entradas (planos E), FPGAs oferecem muito mais estruturas lógicas, flip-flops e outros elementos digitais. HCPLDs (High-Capacity PLD) PLDs de alta capacidade, termo empregado para CPLDs e/ou FPGAs. A. Mariano

6 Definições (2/2) Interconnect é a conexão que realizada nas vias internas do PLD. Programmable Switch pode conectar/desconectar elementos lógicos a uma via de conexão, ou uma via a outra dentro do PLD. Logic Block um bloco de circuito relativamente pequeno que é replicado em conjuntos no PLD. Quando um circuito é implementado num PLD, ele é primeiro decomposto em subcircuitos menores que podem ser mapeados em blocos lógicos. O termo bloco lógico é muito utilizado para descrever FPGAs, mas também pode referir blocos de circuitos em CPLDs. Logic Capacity quantidade de lógica digital que pode ser colocada dentro de um PLD. Geralmente medida em número equivalente de portas lógicas. É a capacidade do PLD medida pelo tamanho do seu conjunto de portas. De forma simples, por exemplo, pode ser entendida como o número de portas NÃO-E de duas entradas que o PLD possui. Logic Density A quantidade de lógica por unidade de área no PLD. Speed Performance mede a máxima velocidade de operação de um circuito quando implementado num PLD. Para circuitos combinacionais é o maior atraso na propagação de um sinal ao longo do circuito, e para circuitos seqüenciais, é a máxima freqüência de relógio para a qual o circuito pode operar adequadamente. A. Mariano

7 Evolução dos PLDs PROM precursora dos PLDs barramento de endereço poderia ser utilizado como entrada de circuitos lógicos e o barramento de dados como saída funções lógicas requerem poucos termos de produtos e as PROMS possuem um decodificador completo para sua entrada de endereço. PLA dois níveis de portas lógicas um plano E programável seguido por um plano OU programável Plano E (produto de termos) / Plano OU (soma de termos) realizar funções na forma de soma de produtos versáteis, pois, ambos termos E e OU podem ter muitas entradas Alto custo de fabricação e baixo desempenho de velocidade A. Mariano

8 Evolução dos PLDs PLA dois níveis de portas lógicas Diagrama de blocos da PLA Estrutura simplificada de uma PLA A. Mariano

9 Evolução dos PLDs PAL plano único de programação um plano E programável para interconexões seguido por um plano OU fixo (não-programável) Para compensar a falta de generalidade (fixação do plano de portas OU): Diferentes tipos de PAL, com diferentes números de entradas e saídas, e vários tamanhos de portas OU Flip-flops conectados às saídas das portas OU para que circuitos seqüenciais possam ser implementados Diversos PLDs são baseados na arquitetura da PAL e são muitas vezes denominados de SPLDs Baixo custo e alto desempenho de velocidade de pino a pino A. Mariano

10 Evolução dos PLDs PAL plano único de programação A dificuldade para o aumento da capacidade de um SPLD é que sua estrutura cresce rapidamente com o aumento no número de entradas A. Mariano

11 Evolução dos PLDs CPLD Complex PLD Integração de vários SPLDs em um único chip prover interconexões dos blocos por programação ALTERA: pioneira em CPLDs (EPLDs) MAX 5000, MAX 7000 e MAX 9000 Dificuldade em se aumentar as estruturas dos CPLDs para maiores densidades lógicas Macrocélula programabilidade interna seletividade de sinais A. Mariano

12 Evolução dos PLDs MPGAs Mask-Programmable Gate Arrays Conjunto de transistores pré-fabricados que podem configurados de acordo com o circuito lógico desenvolvido pelo usuário gravado pela interconexão dos transistores durante a fabricação do chip Alto custo e tempo de fabricação Motivaram o desenvolvimento das FPGAs A. Mariano

13 FPGA Evolução dos PLDs - conjuntos de circuitos lógicos, chamados blocos lógicos, e fontes de interconexão - configuração feita pelo usuário final Alta capacidade lógica Responsáveis por um grande progresso na forma como os circuitos digitais são projetados A. Mariano

14 Categoria PLD vs Capacidade Lógica O tipo de PLD a ser empregado dependerá da aplicação e complexidade do circuito a ser projetado Portas equivalentes referem-se grosseiramente ao número de pontas NÃO-E de duas entradas O número de portas lógicas nas FPGAs atuais está muito além do apresentado na Figura A. Mariano

15 Chaves de Programação Fusíveis: usados nas PLAs Uma única gravação possível CMOS Domínio na industria de Cis transistores de porta flutuante CPLDs (EPROM e EEPROM) FPGAs (SRAM e anti-fusíveis) A. Mariano

16 Resumo das tecnologias de chaves de programação A. Mariano

17 FPGA vs ASIC - Geral Mercado crescente de FPGAs Atualmente, capaz de implementar funções que um ASIC fazia Maior consumo de energia e menor desempenho Menor Time-to-Market Riscos de projeto menores (reconfigurável) Prototipagem rápida (System Generator - Xilinx) A. Mariano

18 FPGA vs ASIC Fluxo de Projeto A. Mariano

19 FPGAs A. Mariano

20 Definição FPGA FPGA: Field Programmable Gate Array Conceito criado pela Xilinx em 1985 É um conjunto de circuitos integrados conectados por uma matriz de células lógicas programáveis Tentava preencher o espaço entre CPLDs (Complex Programmable Logic Devices) e ASICs (Application Specific Integrated Circuit) O que se programa? Blocos lógicos, interconexões e entradas/saídas A. Mariano

21 Características (1/2) Arquitetura massivamente paralela Frequência de elevada de operação Grande quantidade de I/Os (Input/Output) Reprogramável 2,5 bilhões de transistores (8-core Intel 2,3 bi) Aplicações computacionalmente intensivas, paralelas e de tempo-real A. Mariano

22 Características (2/2) Ferramentas de desenvolvimento alto-nível IP Cores (Núcleos de Propriedade Intelectual) Grande variedade de tamanhos e funções DSPs (MACs Multiply and Accumulate) Ethernet MAC (Medium Access Controller), PCI Express Processores embarcados PowerPC 440 Memórias Digital Clock Managers High-Speed I/O - até 6GB/s A. Mariano

23 Estrutura de uma FPGA Possuem unidades funcionais chamadas de CLBs (Configurable Logic Blocks) que implementam a lógica do circuito Unidades de interface, chamadas de IOBs (I/O Blocks), estabelecem a interface com o hardware Estrutura (matriz) de interconexões, ligando uma unidade (IOB/CLB) a outra Memória de configuração determina o chaveamento das conexões físicas A. Mariano

24 Estrutura de uma FPGA Amarelo = IOBs Vermelho = CLBs Verde = Memórias Rosa = Clocks Azul C. = Mux Azul E. = PLLs A. Mariano

25 Estrutura de uma FPGA DCM Digital Clock Manager CLB - Configurable Logic Blocks IOB - I/O Blocks Fonte: Data Sheet Spartan-3 Family A. Mariano

26 Estrutura de uma FPGA - Blocos de Lógica Configurável (CLB) Usualmente cada bloco funcional é composto por: LUT-4 / RAM / Shift Register Mux Flip-Flop Saídas são de apenas 1 bit A composição de diversos blocos funcionais implementa circuitos complexos Podem ser do tipo fine-grained ou coarse A. Mariano

27 Estrutura de uma FPGA - Blocos de I/O (IOB) Grande quantidade de padrões elétricos (LVDS, LVPECL, LVCMOS, LVTTL, etc) L = Low, V = Voltage, P = Positive FPGAs Xilinx variam de 1.2V até 3.3V para sinais absolutos (single-ended) Sinais diferenciais operam até 2.5V Possuem de 100 até 1000 pinos de I/O Rocket I/O: de 100MB/s a 6.75GB/s A. Mariano

28 Estrutura de uma FPGA - Blocos de I/O (IOB) Fonte: Data Sheet Spartan-3 Family A. Mariano

29 Estrutura de uma FPGA - Matriz de Interconexões As chaves estão dispostas horizontalmente e verticalmente entre os blocos lógicos Conectam os IOBs aos CLBs, definindo o roteamento dos sinais SRAM: memória de boot, rápida configuração com gravação no chip e grande área de silício EPROMs: sem memória de boot, configuração lenta com gravação fora do chip A. Mariano

30 Fluxo de Projeto Visão Geral Design Entry (VHDL) Synthesis Constraints Synthesis (RTL) Behavioral Simulation Implementation Constraints Floor-Planning Implementation Translate Map Place & Route Timing Analysis Timing Simulation FPGA (.bit) A. Mariano

31 Fluxo de Projeto Visão Geral O fluxo de projeto é independente do fabricante Podem existir passos adicionais específicos a cada plataforma Normalmente foca-se na primeira etapa, a especificação (design entry), mas outras etapas também são importantes Cada fabricante fornece um ambiente integrado de desenvolvimento capaz de dar suporte a cada uma dessas etapas (Altera Quartus, Xilinx ISE, etc) A. Mariano

32 Fluxo de Projeto Visão Geral Possibilidade de utilização de softwares especializados em determinada etapa do projeto (ModelSim - simulação, Synplify síntese, etc) São capazes de gerar o código executável FPGA, chamado de bitstream (.bit) e descarregá-lo (JTAG) Fornecem diversas diretivas de síntese (métricas, estilos de implementação, restrições de projeto, entre outros) A. Mariano

33 Fluxo de Projeto Visão Geral Design Entry VHDL Synthesis Implementation Translate Map Place & Route RTL Esquemático Cada etapa do fluxo de projeto gera um sub-produto, que é utilizado com entrada da etapa seguinte Floor-Planning Configuração FPGA (.bit) A. Mariano

34 Fluxo de Projeto Design Entry Onde o projetista define a especificação do projeto de hardware O resultado dessa etapa é um modelo de circuito descrito em VHDL ou Verilog A verificação dessa etapa é feita por meio de simulações Pode ser do tipo estrutural, comportamental ou RTL Design Entry Synthesis Implementation Translate Map Place & Route Floor-Planning FPGA (.bit) A. Mariano

35 Fluxo de Projeto - Síntese Etapa que converte um modelo VHDL em um modelo de circuito Lista todos os elementos lógicos que compõem o modelo projeto (ANDs, ORs, MUXs, Adders, etc) É a etapa de compilação do código Converte HDL (Hardware Description Language) em RTL (Register-Transfer Level) A análise sintática e hierárquica é feita nessa etapa, buscando métricas de otimização Design Entry Synthesis Implementation Translate Map Place & Route Floor-Planning FPGA (.bit) A. Mariano

36 Fluxo de Projeto - Síntese VHDL não foi concebida inicialmente para síntese de circuitos Design Entry Synthesis Seu foco era especificação Permite construções abstratas, nem sempre sintetizáveis Também é possível simular o resultado gerado nessa etapa Implementation Translate Map Place & Route Floor-Planning FPGA (.bit) A. Mariano

37 Fluxo de Projeto - Implementação A etapa de implementação é composta por 3 partes Tradução Mapeamento Posicionamento e Roteamento Essa etapa é dependente da plataforma Transforma um modelo conceitual em um circuito digital pronto para ser descarregado em FPGA (bitstream download) Design Entry Synthesis Implementation Translate Map Place & Route Floor-Planning FPGA (.bit) A. Mariano

38 Fluxo de Projeto - Implementação Tradução Combina as restrições de projeto com os esquemas gerados anteriormente em um único arquivo de design lógico Todos os elementos lógicos são reduzidos a primitivas de implementação Essa etapa é algumas vezes omitida (é apenas um agregador de informações) Design Entry Synthesis Implementation Translate Map Place & Route Floor-Planning FPGA (.bit) A. Mariano

39 Fluxo de Projeto - Implementação Mapeamento: Divide e distribui os elementos lógicos definidos na tradução em recursos da FPGA (technology-specific) Circuitos complexos são divididos em unidades funcionais menores Cada circuito é mapeado em um CLB ou IOB, etc Nessa fase já existe informação precisa sobre atrasos de comutação (atraso de cada unidade funcional) Design Entry Synthesis Implementation Translate Map Place & Route Floor-Planning FPGA (.bit) A. Mariano

40 Fluxo de Projeto - Implementação Posicionamento e Roteamento: Arranjo espacial das unidades lógicas alocadas para implementar o projeto Posicionamento tenta manter a lógica relacionada próxima (performance) Roteamento conecta os blocos lógicos mapeados Essa etapa impacta significativamente no desempenho do circuito (atrasos de propagação) Design Entry Synthesis Implementation Translate Map Place & Route Floor-Planning FPGA (.bit) A. Mariano

41 Fluxo de Projeto Visão Geral Design Entry (VHDL) Synthesis Constraints Synthesis (RTL) Behavioral Simulation Implementation Constraints Floor-Planning Implementation Translate Map Place & Route Timing Analysis Timing Simulation FPGA (.bit) A. Mariano

42 Fluxo de Projeto Análise de Tempo Faz-se a análise de tempo do circuito e de dissipação de energia É a análise mais precisa do processo (todos os elementos do circuito e suas conexões já são conhecidos) Determina-se a frequência máxima de operação, caminho crítico, etc O resultado obtido pode conduzir a uma revisão das etapas anteriores A. Mariano

43 Fluxo de Projeto Visão Geral Design Entry (VHDL) Synthesis Constraints Synthesis (RTL) Behavioral Simulation Implementation Constraints Floor-Planning Implementation Translate Map Place & Route Timing Analysis Timing Simulation FPGA (.bit) A. Mariano

44 Fluxo de Projeto Restrições de Usuário É possível criar restrições de projeto A ferramenta, durante cada etapa, tenta atingir essas restrições Restrições físicas do sinal: frequência de operação, offset, slew-rate, etc Restrições gerais: posição de I/Os, área/desempenho, estilo de FSM (Finite State Machine), etc Caso uma dessas características não seja atendida, o projeto falha A. Mariano

45 Fluxo de Projeto - FloorPlanning Quando os resultados obtidos pela análise de tempo não forem satisfatórios, é necessário intervir no processo de implementação Problemas de frequência podem ser superados utilizando-se técnicas de pipeline Fan-outs elevados podem ser eliminados pela replicação de sinais Pode ser necessário posicionamento manual para superar problemas de atraso Design Entry Synthesis Implementation Translate Map Place & Route Floor-Planning FPGA (.bit) A. Mariano

46 Fluxo de Projeto Programação da FPGA Uma vez completado com sucesso as etapas anteriores, um arquivo.bit (bitstream) é gerado Esse arquivo pode ser transferido a uma placa FPGA via JTAG (ou via USB-port) Em caso de produto final, esse bitstream é gravado em uma memória PROM Durante a inicialização do dispositivo, a FPGA busca sua configuração nessa memória Bitstream pode ser criptografado Design Entry Synthesis Implementation Translate Map Place & Route Floor-Planning FPGA (.bit) A. Mariano

Sistemas Digitais. Módulo 15 Prof. Celso PLD - DISPOSITIVOS LÓGICOS PROGRAMÁVEIS

Sistemas Digitais. Módulo 15 Prof. Celso PLD - DISPOSITIVOS LÓGICOS PROGRAMÁVEIS 1 PLD - DISPOSITIVOS LÓGICOS PROGRAMÁVEIS Os projetos com circuitos digitais mais complexos podem se tornar inviáveis devido a vários problemas, tais como: - Elevado número de C.I. (circuitos integrados)

Leia mais

Disciplina: Processamento Digital de Sinais (ENG577) Aula 05 Parte 2: Dispositivos de Hardware Programável Prof.: Eduardo Simas eduardo.simas@ufba.

Disciplina: Processamento Digital de Sinais (ENG577) Aula 05 Parte 2: Dispositivos de Hardware Programável Prof.: Eduardo Simas eduardo.simas@ufba. Universidade Federal da Bahia Escola Politécnica Programa de Pós Graduação em Engenharia Elétrica Disciplina: Processamento Digital de Sinais (ENG577) Aula 05 Parte 2: Dispositivos de Hardware Programável

Leia mais

Dispositivos Lógicos Programáveis

Dispositivos Lógicos Programáveis Dispositivos Lógicos Programáveis Circuitos Lógicos DCC-IM/UFRJ Prof. 2009 1 Família de Sistemas Digitais 2 Comparação: Velocidade e Consumo VLSI Personalizado ASIC Célula-Padrão ASIC Estruturado CPLD

Leia mais

Microelectrónica (ME)

Microelectrónica (ME) Microelectrónica (ME) LEEC (opção) Lic. Lic. Engª. AeroEspacial (Aviónica) Tipos de projecto de CIs Marcelino Santos (marcelino.santos@ist.utl.pt) 2004/05 Tipos de projecto de CIs ASIC - Application Specific

Leia mais

Circuitos Lógicos. Profa. Grace S. Deaecto. Faculdade de Engenharia Mecânica / UNICAMP 13083-860, Campinas, SP, Brasil. grace@fem.unicamp.

Circuitos Lógicos. Profa. Grace S. Deaecto. Faculdade de Engenharia Mecânica / UNICAMP 13083-860, Campinas, SP, Brasil. grace@fem.unicamp. Circuitos Lógicos Profa. Grace S. Deaecto Faculdade de Engenharia Mecânica / UNICAMP 13083-860, Campinas, SP, Brasil. grace@fem.unicamp.br Segundo Semestre de 2013 Profa. Grace S. Deaecto ES572 DMC / FEM

Leia mais

FPGA Field Programmable Gate Array

FPGA Field Programmable Gate Array Arquitetura de Computadores FPGA Field Programmable Gate Array Alex Vidigal Bastos Sumário Dispositivos Reconfiguráveis Field Programmable Gate Arrays Funcionamento Desenvolvimento Ferramentas Dispositivos

Leia mais

Curso Superior de Sistemas de Telecomunicações Unidade São José. Disciplina: Síntese de Sistemas de Telecomunicações 7º Fase

Curso Superior de Sistemas de Telecomunicações Unidade São José. Disciplina: Síntese de Sistemas de Telecomunicações 7º Fase Curso Superior de Sistemas de Telecomunicações Unidade São José Disciplina: Síntese de Sistemas de Telecomunicações 7º Fase Bases tecnológicas Dispositivos Lógicos Programáveis. Introdução à Tecnologia

Leia mais

Ao longo do presente capítulo será apresentada uma descrição introdutória da tecnologia FPGA e dos módulos básicos que a constitui.

Ao longo do presente capítulo será apresentada uma descrição introdutória da tecnologia FPGA e dos módulos básicos que a constitui. 3 Tecnologia FPGA Ao longo do presente capítulo será apresentada uma descrição introdutória da tecnologia FPGA e dos módulos básicos que a constitui. 3.1. FPGA: Histórico, linguagens e blocos Muitos dos

Leia mais

Os dispositivos lógicos programáveis (PLD Programmable Logic Device) são circuitos integrados programáveis pelo usuário, que

Os dispositivos lógicos programáveis (PLD Programmable Logic Device) são circuitos integrados programáveis pelo usuário, que Dispositivos Lógicos Programáveis (PLD) Os dispositivos lógicos programáveis (PLD Programmable Logic Device) são circuitos integrados programáveis pelo usuário, que possui um grande número de portas lógicas

Leia mais

Aula 24. Circuitos Lógicos Programáveis

Aula 24. Circuitos Lógicos Programáveis Aula 24 Circuitos Lógicos Programáveis 1) Introdução Impulsionado pelo desenvolvimento de novos tipos de sofisticados dispositivos programáveis por campo elétrico (FPDs), o processo de projeto digital

Leia mais

PROJETO DE CIRCUITOS INTEGRADOS VLSI

PROJETO DE CIRCUITOS INTEGRADOS VLSI Universidade Federal do Piauí Centro de Tecnologia Curso de Engenharia Elétrica PROJETO DE CIRCUITOS INTEGRADOS VLSI Sistemas VLSI Digitais e Dispositivos de Lógica Programável Prof. Marcos Zurita zurita@ufpi.edu.br

Leia mais

Curso Superior de Sistemas de Telecomunicações Unidade São José. Disciplina: Síntese de Sistemas de Telecomunicações 7º Fase

Curso Superior de Sistemas de Telecomunicações Unidade São José. Disciplina: Síntese de Sistemas de Telecomunicações 7º Fase Curso Superior de Sistemas de Telecomunicações Unidade São José Disciplina: Síntese de Sistemas de Telecomunicações 7º Fase Bases tecnológicas Dispositivos Lógicos Programáveis. Introdução à Tecnologia

Leia mais

Introdução ao Desenvolvimento de Circuitos Digitais Prof. Rômulo Calado Pantaleão Camara. Carga Horária: 2h/60h

Introdução ao Desenvolvimento de Circuitos Digitais Prof. Rômulo Calado Pantaleão Camara. Carga Horária: 2h/60h Introdução ao Desenvolvimento de Circuitos Digitais Prof. Rômulo Calado Pantaleão Camara Carga Horária: 2h/60h A grande ideia! O processo de concepção de um produto (chip) é muito demorado. Tempo; Esforço;

Leia mais

Dispositivos Lógicos Programáveis

Dispositivos Lógicos Programáveis PARTE 1 - TEORIA Dispositivos Lógicos Programáveis Os dispositivos lógicos programáveis (PLD Programmable Logic Device) são circuitos integrados programáveis, que possuem um grande número de portas lógicas,

Leia mais

1 MEMÓRIAS 07/08. como armazenar mais do que um bit? Até ao momento... MEMÓRIA =FLIP- FLOP

1 MEMÓRIAS 07/08. como armazenar mais do que um bit? Até ao momento... MEMÓRIA =FLIP- FLOP Memórias.PLD.PLA.FPGA. Até ao momento... MEMÓRIA =FLIP- FLOP 1 MEMÓRIAS como armazenar mais do que um bit? Coelho, J.P. @ Sistemas Digitais : Y20 Memórias.PLD.PLA.FPGA. 2 como armazenar mais do que uma

Leia mais

IE-344B - Tópicos em Comunicações Leitura Complementar Aula 5: FPGA e Fluxo de Projeto

IE-344B - Tópicos em Comunicações Leitura Complementar Aula 5: FPGA e Fluxo de Projeto IE-344B - Tópicos em Comunicações Leitura Complementar Aula 5: FPGA e Fluxo de Projeto 2º Semestre/2007 Fabbryccio A. C. M. Cardoso Marcelo Augusto Costa Fernandes Prof. Responsável: Dalton S. Arantes

Leia mais

Dispositivos de Lógica Programável

Dispositivos de Lógica Programável Dispositivos de Lógica Programável Evolução Válvula no início de 1940 Transistor em 1947 Não aquece como as válvulas Fisicamente menor 1961 primeiro integrado TTL 74LSXX Década de 1970 surge SPLD Simple

Leia mais

IMPLEMENTAÇÃO DE CONTROLADOR LÓGICO BASEADO EM LÓGICA PROGRAMÁVEL ESTRUTURADA ( FPGA) Resumo

IMPLEMENTAÇÃO DE CONTROLADOR LÓGICO BASEADO EM LÓGICA PROGRAMÁVEL ESTRUTURADA ( FPGA) Resumo IMPLEMENTAÇÃO DE CONTROLADOR LÓGICO BASEADO EM LÓGICA PROGRAMÁVEL ESTRUTURADA ( FPGA) Cesar da Costa Cost036@attglobal.net UNITAU Universidade de Taubaté Departamento de Engenharia Mecânica Pós-Graduação

Leia mais

R S Q 0 0 1 0 1 0 1 0 0 1 1 0 Tabela 17 - Tabela verdade NOR

R S Q 0 0 1 0 1 0 1 0 0 1 1 0 Tabela 17 - Tabela verdade NOR 19 Aula 4 Flip-Flop Flip-flops são circuitos que possuem a característica de manter os bits de saída independente de energia, podem ser considerados os princípios das memórias. Um dos circuitos sequenciais

Leia mais

Memórias. O que são Memórias de Semicondutores? São componentes capazes de armazenar informações Binárias (0s e 1s)

Memórias. O que são Memórias de Semicondutores? São componentes capazes de armazenar informações Binárias (0s e 1s) Memórias O que são Memórias de Semicondutores? São componentes capazes de armazenar informações Binárias (0s e 1s) Essas informações são guardadas eletricamente em células individuais. Chamamos cada elemento

Leia mais

Prototipação de Sistemas Digitais. Metodologia de Projetos Cristiano Araújo

Prototipação de Sistemas Digitais. Metodologia de Projetos Cristiano Araújo Prototipação de Sistemas Digitais Metodologia de Projetos Cristiano Araújo Fluxo de projeto Emulação/Implementação do componente de harwdare VHDL/Verilog Simulação FPGAs, CPLDs Síntese p/emulação ASICs

Leia mais

Hardware de Computadores

Hardware de Computadores Placa Mãe Hardware de Computadores Introdução Placa-mãe, também denominada mainboard ou motherboard, é uma placa de circuito impresso eletrônico. É considerado o elemento mais importante de um computador,

Leia mais

1 Introdução. 1.1. Motivação

1 Introdução. 1.1. Motivação 15 1 Introdução Esta dissertação dedica-se ao desenvolvimento de um analisador de erro para Redes Ópticas através da utilização de circuitos integrados programáveis de última geração utilizando taxas que

Leia mais

O diagrama ASM contém dois elementos básicos: o bloco de estado e o bloco de decisão.

O diagrama ASM contém dois elementos básicos: o bloco de estado e o bloco de decisão. 14 3.2 Projeto da Unidade de Controle (VHDL) 3.2.1 Diagrama ASM (Algorithmic State Machine) ASM é um fluxograma através do qual se representa a seqüência de ações que a unidade de controle de um sistema

Leia mais

MEMÓRIAS. Sistemas Digitais II Prof. Marcelo Wendling Set/10

MEMÓRIAS. Sistemas Digitais II Prof. Marcelo Wendling Set/10 MEMÓRIAS Sistemas Digitais II Prof. Marcelo Wendling Set/10 1 Definição São blocos que armazenam informações codificadas digitalmente números, letras, caracteres quaisquer, comandos de operações, endereços

Leia mais

ORGANIZAÇÃO DE COMPUTADORES MÓDULO 8

ORGANIZAÇÃO DE COMPUTADORES MÓDULO 8 ORGANIZAÇÃO DE COMPUTADORES MÓDULO 8 Índice 1. A Organização do Computador - Continuação...3 1.1. Processadores - II... 3 1.1.1. Princípios de projeto para computadores modernos... 3 1.1.2. Paralelismo...

Leia mais

Manual de Instalação da Plataforma Scorpion. 1. Como conectar a Plataforma Scorpion com a Xilinx Starter-3E kit

Manual de Instalação da Plataforma Scorpion. 1. Como conectar a Plataforma Scorpion com a Xilinx Starter-3E kit Manual de Instalação da Plataforma Scorpion 1. Como conectar a Plataforma Scorpion com a Xilinx Starter-3E kit Para começar a ler este manual, é bom que você conheça os componentes desta conexão. Plataforma

Leia mais

Dispositivos Lógicos Programáveis

Dispositivos Lógicos Programáveis unesp UNIVERSIDADE ESTADUAL PAULISTA Campus de Guaratinguetá Colégio Técnico Industrial de Guaratinguetá Professor Carlos Augusto Patrício Amorim Dispositivos Lógicos Programáveis Autores Caio Augusto

Leia mais

Circuitos de Memória: Tipos e Funcionamento. Fabrício Noveletto

Circuitos de Memória: Tipos e Funcionamento. Fabrício Noveletto Circuitos de Memória: Tipos e Funcionamento Fabrício Noveletto Memória de semicondutores São dispositivos capazes de armazenar informações digitais. A menor unidade de informação que pode ser armazenada

Leia mais

Memórias Prof. Galvez Gonçalves

Memórias Prof. Galvez Gonçalves Arquitetura e Organização de Computadores 1 s Prof. Galvez Gonçalves Objetivo: Compreender os tipos de memória e como elas são acionadas nos sistemas computacionais modernos. INTRODUÇÃO Nas aulas anteriores

Leia mais

Disciplina: Introdução à Informática Profª Érica Barcelos

Disciplina: Introdução à Informática Profª Érica Barcelos Disciplina: Introdução à Informática Profª Érica Barcelos CAPÍTULO 4 1. ARQUITETURA DO COMPUTADOR- HARDWARE Todos os componentes físicos constituídos de circuitos eletrônicos interligados são chamados

Leia mais

Departamento de Engenharia Elétrica e de Computação SEL 405 Lab. de Introdução aos Sistemas Digitais I. Profa. Luiza Maria Romeiro Codá

Departamento de Engenharia Elétrica e de Computação SEL 405 Lab. de Introdução aos Sistemas Digitais I. Profa. Luiza Maria Romeiro Codá Departamento de Engenharia Elétrica e de Computação SEL 405 Lab. de Introdução aos Sistemas Digitais I Profa. Luiza Maria Romeiro Codá DISPOSITIVOS LÓGICOS PROGRAMÁVEIS 1. Introdução: A tecnologia dos

Leia mais

- Arquitetura de sistemas digitais- Cap 1 - Introdução

- Arquitetura de sistemas digitais- Cap 1 - Introdução - Arquitetura de sistemas digitais- Cap 1 - Introdução Prof. Alan Petrônio - www.ufsj.edu.br/alan - 2012 Conteúdos/propósitos deste capítulo: 1.1 - Relembrando conceitos 1.2 - Arquitetura de computadores

Leia mais

Guilherme Pina Cardim. Relatório de Sistemas Operacionais I

Guilherme Pina Cardim. Relatório de Sistemas Operacionais I Guilherme Pina Cardim Relatório de Sistemas Operacionais I Presidente Prudente - SP, Brasil 30 de junho de 2010 Guilherme Pina Cardim Relatório de Sistemas Operacionais I Pesquisa para descobrir as diferenças

Leia mais

Conceitos de Linguagens de Descrição de Hardware (Verilog, VHDL) Alex Vidigal Bastos alexvbh@gmail.com

Conceitos de Linguagens de Descrição de Hardware (Verilog, VHDL) Alex Vidigal Bastos alexvbh@gmail.com Conceitos de Linguagens de Descrição de Hardware (Verilog, VHDL) 1 Alex Vidigal Bastos alexvbh@gmail.com Objetivos da Aula Apresentar o conceito gerais de Linguagens de Descrição de Hardware (Verilog /

Leia mais

Tais operações podem utilizar um (operações unárias) ou dois (operações binárias) valores.

Tais operações podem utilizar um (operações unárias) ou dois (operações binárias) valores. Tais operações podem utilizar um (operações unárias) ou dois (operações binárias) valores. 7.3.1.2 Registradores: São pequenas unidades de memória, implementadas na CPU, com as seguintes características:

Leia mais

MÓDULO 11 ELEMENTOS QUE FAZEM PARTE DO PROJETO DO SISTEMA

MÓDULO 11 ELEMENTOS QUE FAZEM PARTE DO PROJETO DO SISTEMA MÓDULO 11 ELEMENTOS QUE FAZEM PARTE DO PROJETO DO SISTEMA Através dos elementos que fazem parte do projeto do sistema é que podemos determinar quais as partes do sistema que serão atribuídas às quais tipos

Leia mais

GUIA DE LABORATÓRIO DE SISTEMAS DIGITAIS PARA O CURSO DE ENGENHARIA DE CONTROLE E AUTOMAÇÃO

GUIA DE LABORATÓRIO DE SISTEMAS DIGITAIS PARA O CURSO DE ENGENHARIA DE CONTROLE E AUTOMAÇÃO GUIA DE LABORATÓRIO DE SISTEMAS DIGITAIS PARA O CURSO DE ENGENHARIA DE CONTROLE E AUTOMAÇÃO Agosto/2004 V2 INTRODUÇÃO Este guia foi preparado para auxilio às aulas de laboratório para o curso de Engenharia

Leia mais

Você acha que não precisa de um FPGA? Pense melhor!

Você acha que não precisa de um FPGA? Pense melhor! Você acha que não precisa de um FPGA? Pense melhor! Objetivos de hoje Definir e explicar os FPGAs Desmistificar os conceitos incorretos mais comuns sobre os FPGAs Compreender a melhor e mais intuitiva

Leia mais

ELD - Eletrônica Digital Aula 11 Introdução à Lógica Programável. Prof. Antonio Heronaldo de Sousa

ELD - Eletrônica Digital Aula 11 Introdução à Lógica Programável. Prof. Antonio Heronaldo de Sousa ELD - Eletrônica Digital Aula 11 Introdução à Lógica Programável Prof. Antonio Heronaldo de Sousa Agenda - Introdução - Lógica Programável - Dispositivos de Lógica Programável - Arranjos Lógicos Programáveis

Leia mais

Introdução a Informática. Prof.: Roberto Franciscatto

Introdução a Informática. Prof.: Roberto Franciscatto Introdução a Informática Prof.: Roberto Franciscatto 3.1 EXECUÇÃO DAS INSTRUÇÕES A UCP tem duas seções: Unidade de Controle Unidade Lógica e Aritmética Um programa se caracteriza por: uma série de instruções

Leia mais

PROJETO LÓGICO DE COMPUTADORES Prof. Ricardo Rodrigues Barcelar http://www.ricardobarcelar.com.br

PROJETO LÓGICO DE COMPUTADORES Prof. Ricardo Rodrigues Barcelar http://www.ricardobarcelar.com.br - Aula 2 - O NÍVEL DA MICROARQUITETURA 1. INTRODUÇÃO Este é o nível cuja função é implementar a camada ISA (Instruction Set Architeture). O seu projeto depende da arquitetura do conjunto das instruções

Leia mais

O hardware é a parte física do computador, como o processador, memória, placamãe, entre outras. Figura 2.1 Sistema Computacional Hardware

O hardware é a parte física do computador, como o processador, memória, placamãe, entre outras. Figura 2.1 Sistema Computacional Hardware 1 2 Revisão de Hardware 2.1 Hardware O hardware é a parte física do computador, como o processador, memória, placamãe, entre outras. Figura 2.1 Sistema Computacional Hardware 2.1.1 Processador O Processador

Leia mais

Sistemas Operacionais

Sistemas Operacionais Sistemas Operacionais Aula 3 Software Prof.: Edilberto M. Silva http://www.edilms.eti.br SO - Prof. Edilberto Silva Barramento Sistemas Operacionais Interliga os dispositivos de E/S (I/O), memória principal

Leia mais

DECODIFICADOR DE DISPLAY DE 7 SEGMENTOS COM LATCH

DECODIFICADOR DE DISPLAY DE 7 SEGMENTOS COM LATCH UNIVERSIDADE FEDERAL DO PARANÁ DEPARTAMENTO DE ENGENHARIA ELÉTRICA DECODIFICADOR DE DISPLAY DE 7 SEGMENTOS COM LATCH Projeto para a matéria TE130 Projeto de Circuitos Integrados Digitais, ministrada pelo

Leia mais

Figura 01 Visão Geral da Placa

Figura 01 Visão Geral da Placa 1. Hardware O kit de FPGA é formado por periféricos que possibilitam sua interação com sinais de áudio, display gráfico e alfanumérico, comunicação serial e USB, codec de áudio, chaves para simulação e

Leia mais

Lab 3. Timing Timing Constraints Simulação

Lab 3. Timing Timing Constraints Simulação Lab 3 Timing Timing Constraints Simulação A. Mariano - 2014 1 VHDL processes Para um designer, process é um bloco de instruções que funciona de maneira sequencial e que é chaveado quando algum dos sinais

Leia mais

Portas lógicas e circuitos digitais. Sistemas da Computação Prof. Rossano Pablo Pinto, Msc. rossano at gmail com 2 semestre 2007

Portas lógicas e circuitos digitais. Sistemas da Computação Prof. Rossano Pablo Pinto, Msc. rossano at gmail com 2 semestre 2007 Portas lógicas e circuitos digitais Sistemas da Computação Prof. Rossano Pablo Pinto, Msc. rossano at gmail com 2 semestre 2007 Tópicos Portas Circuito somador Circuito subtrator flip-flops (registradores)

Leia mais

TE 130 PROJETO DE CIRCUITOS INTEGRADOS DIGITAIS

TE 130 PROJETO DE CIRCUITOS INTEGRADOS DIGITAIS TE 130 PROJETO DE CIRCUITOS INTEGRADOS DIGITAIS Oscar C. Gouveia Filho Departamento de Engenharia Elétrica UFPR URL: www.eletrica.ufpr.br/ogouveia E-mail: ogouveia@eletrica.ufpr.br 1 OBJETIVOS Entender

Leia mais

Dispositivos Lógicos Programáveis (PLDs) Leandro Schwarz

Dispositivos Lógicos Programáveis (PLDs) Leandro Schwarz Dispositivos Lógicos Programáveis (PLDs) Leandro Schwarz Conceito PLD - Programmable Logic Device; Circuito integrado com grande número de portas lógicas configuráveis, flip-flops e registradores; Permite

Leia mais

PROGRAMAÇÃO EM VHDL DE CIRCUITOS LÓGICOS PARA IMPLEMENTAÇÃO EM FPGA RELATÓRIO FINAL DE PROJETO DE INICIAÇÃO CIENTÍFICA

PROGRAMAÇÃO EM VHDL DE CIRCUITOS LÓGICOS PARA IMPLEMENTAÇÃO EM FPGA RELATÓRIO FINAL DE PROJETO DE INICIAÇÃO CIENTÍFICA PROGRAMAÇÃO EM VHDL DE CIRCUITOS LÓGICOS PARA IMPLEMENTAÇÃO EM FPGA RELATÓRIO FINAL DE PROJETO DE INICIAÇÃO CIENTÍFICA (PIBIC/CNPq/INPE) Yegor Gomes de Mello (UFRN, Bolsista PIBIC/CNPq) E-mail: yegor_melo@crn.inpe.br

Leia mais

Centro Federal de Educação Tecnológica de Pelotas CEFET-RS. Aula 08. Estilos de Projeto. Prof. Sandro Vilela da Silva. sandro@cefetrs.tche.

Centro Federal de Educação Tecnológica de Pelotas CEFET-RS. Aula 08. Estilos de Projeto. Prof. Sandro Vilela da Silva. sandro@cefetrs.tche. Centro Federal de Educação Tecnológica de Pelotas CEFET-RS Projeto Físico F Digital Aula 08 Estilos de Projeto Prof. Sandro Vilela da Silva sandro@cefetrs.tche.br Copyright Parte dos slides foram realizados

Leia mais

AULA4: PROCESSADORES. Figura 1 Processadores Intel e AMD.

AULA4: PROCESSADORES. Figura 1 Processadores Intel e AMD. AULA4: PROCESSADORES 1. OBJETIVO Figura 1 Processadores Intel e AMD. Conhecer as funcionalidades dos processadores nos computadores trabalhando suas principais características e aplicações. 2. INTRODUÇÃO

Leia mais

ARQUITETURA DE COMPUTADORES - 1866

ARQUITETURA DE COMPUTADORES - 1866 7 Unidade Central de Processamento (UCP): O processador é o componente vital do sistema de computação, responsável pela realização das operações de processamento e de controle, durante a execução de um

Leia mais

Hardware Reconfigurável

Hardware Reconfigurável Universidade Federal do Rio Grande do Norte Departamento de Engenharia de Computação e Automação Hardware Reconfigurável DCA0119 Sistemas Digitais Heitor Medeiros Florencio Tópicos Alternativas de projeto

Leia mais

Técnicas de Manutenção de Computadores

Técnicas de Manutenção de Computadores Técnicas de Manutenção de Computadores Professor: Luiz Claudio Ferreira de Souza Processadores É indispensável em qualquer computador, tem a função de gerenciamento, controlando todas as informações de

Leia mais

Aula 26: Arquiteturas RISC vs. CISC

Aula 26: Arquiteturas RISC vs. CISC Aula 26: Arquiteturas RISC vs CISC Diego Passos Universidade Federal Fluminense Fundamentos de Arquiteturas de Computadores Diego Passos (UFF) Arquiteturas RISC vs CISC FAC 1 / 33 Revisão Diego Passos

Leia mais

Arquitetura de Computadores Paralelismo, CISC X RISC, Interpretação X Tradução, Caminho de dados

Arquitetura de Computadores Paralelismo, CISC X RISC, Interpretação X Tradução, Caminho de dados Arquitetura de Computadores Paralelismo, CISC X RISC, Interpretação X Tradução, Caminho de dados Organização de um Computador Típico Memória: Armazena dados e programas. Processador (CPU - Central Processing

Leia mais

INSTITUTO DE EMPREGO E FORMAÇÃO PROFISSIONAL, I.P.

INSTITUTO DE EMPREGO E FORMAÇÃO PROFISSIONAL, I.P. INSTITUTO DE EMPREGO E FORMAÇÃO PROFISSIONAL, I.P. Centro de Emprego e Formação Profissional da Guarda Curso: Técnico de Informática Sistemas (EFA-S4A)-NS Trabalho Realizado Por: Igor_Saraiva nº 7 Com

Leia mais

MEMÓRIA. 0 e 1 únicos elementos do sistema de numeração de base 2

MEMÓRIA. 0 e 1 únicos elementos do sistema de numeração de base 2 MEMÓRIA CONCEITO Bit- 0 1 Essência de um sistema chamado BIESTÁVEL Ex: Lâmpada 0 apagada 1 acesa 0 e 1 únicos elementos do sistema de numeração de base 2 A que se destina a memória: Armazenamento das instruções

Leia mais

Sistemas Operacionais Gerência de Dispositivos

Sistemas Operacionais Gerência de Dispositivos Universidade Estadual de Mato Grosso do Sul UEMS Curso de Licenciatura em Computação Sistemas Operacionais Gerência de Dispositivos Prof. José Gonçalves Dias Neto profneto_ti@hotmail.com Introdução A gerência

Leia mais

UNIVERSIDADE FEDERAL DE SANTA MARIA CENTRO DE TECNOLOGIA DISCIPLINAS: CIRCUITOS E SISTEMAS DIGITAIS GUIA PRÁTICO MAX-PLUS II VERSÃO 7.

UNIVERSIDADE FEDERAL DE SANTA MARIA CENTRO DE TECNOLOGIA DISCIPLINAS: CIRCUITOS E SISTEMAS DIGITAIS GUIA PRÁTICO MAX-PLUS II VERSÃO 7. UNIVERSIDADE FEDERAL DE SANTA MARIA CENTRO DE TECNOLOGIA DISCIPLINAS: CIRCUITOS E SISTEMAS DIGITAIS GUIA PRÁTICO MAX-PLUS II VERSÃO 7.21 - ESTUDANTE Prof. Dr. José Renes Pinheiro Prof. Dr. Hélio Leães

Leia mais

O CPLD (Dispositivo Complexo de Lógica Programação aplicado em automação industrial* RESUMO

O CPLD (Dispositivo Complexo de Lógica Programação aplicado em automação industrial* RESUMO O CPLD (Dispositivo Complexo de Lógica Programação aplicado em automação industrial* Tiago Tobias Freitas, Thiago Luiz Pasqualinoto e Juliano Carlos Leão *Trabalho apresentado para Feira SENAI Paulista

Leia mais

Paralelismo. Computadores de alto-desempenho são utilizados em diversas áreas:

Paralelismo. Computadores de alto-desempenho são utilizados em diversas áreas: Computadores de alto-desempenho são utilizados em diversas áreas: - análise estrutural; - previsão de tempo; - exploração de petróleo; - pesquisa em fusão de energia; - diagnóstico médico; - simulações

Leia mais

ELT601 Eletrônica Digital II

ELT601 Eletrônica Digital II Graduação em Engenharia Eletrônica Universidade Federal de Itajubá IESTI Dispositivos Lógicos Programáveis (DLPs) Prof. Rodrigo de Paula Rodrigues DLP Conteto Grau de integração EIB - SSI EIM - MSI EIA

Leia mais

DISCIPLINA: Sistemas Digitais

DISCIPLINA: Sistemas Digitais DISCIPLINA: Sistemas Digitais Vigência: a partir de 2007/1 Período Letivo: 4º semestre Carga Horária Total: 45h Código: EE.212 Ementa: Implementação Física. Tecnologia de CIs programáveis. FPGA. Atraso

Leia mais

Hardware (Nível 0) Organização. Interface de Máquina (IM) Interface Interna de Microprogramação (IIMP)

Hardware (Nível 0) Organização. Interface de Máquina (IM) Interface Interna de Microprogramação (IIMP) Hardware (Nível 0) Organização O AS/400 isola os usuários das características do hardware através de uma arquitetura de camadas. Vários modelos da família AS/400 de computadores de médio porte estão disponíveis,

Leia mais

Introdução. Em se tratando de computador, entendemos que memória são dispositivos que armazenam dados com os quais o processador trabalha.

Introdução. Em se tratando de computador, entendemos que memória são dispositivos que armazenam dados com os quais o processador trabalha. Memorias Introdução Em se tratando de computador, entendemos que memória são dispositivos que armazenam dados com os quais o processador trabalha. Necessariamente existe dois tipos de memórias: -Memória

Leia mais

FPGA - Field Programmable Gate Array

FPGA - Field Programmable Gate Array FPGA - Field Programmable Gate Array 1985/86: Xilinx introduz nova família de PLDs FPGA - Field Programmable Gate Array agregados regulares de blocos lógicos configuráveis (CLB para XILINX) ligações programáveis

Leia mais

INTRODUÇÃO BARRAMENTO PCI EXPRESS.

INTRODUÇÃO BARRAMENTO PCI EXPRESS. INTRODUÇÃO BARRAMENTO EXPRESS. O processador se comunica com os outros periféricos do micro através de um caminho de dados chamado barramento. Desde o lançamento do primeiro PC em 1981 até os dias de hoje,

Leia mais

INTERFACE RECONFIGURÁVEL PARA ARQUITETURA PARALELA BASEADA EM PROCESSADOR EMBARCADO NIOS II

INTERFACE RECONFIGURÁVEL PARA ARQUITETURA PARALELA BASEADA EM PROCESSADOR EMBARCADO NIOS II CENTRO UNIVERSITÁRIO POSITIVO NÚCLEO DE CIÊNCIAS EXATAS E TECNOLÓGICAS ENGENHARIA DA COMPUTAÇÃO INTERFACE RECONFIGURÁVEL PARA ARQUITETURA PARALELA BASEADA EM PROCESSADOR EMBARCADO NIOS II UNICENP / NCET

Leia mais

Programação de CPLDs no ambiente ISE 4.2i da Xilinx

Programação de CPLDs no ambiente ISE 4.2i da Xilinx Programação de CPLDs no ambiente ISE 4.2i da Xilinx O presente documento resume os passos necessários para programar um Complex Programmable Logic Device (CPLD) usando o editor de esquema eléctrico e a

Leia mais

Arquiteturas Multi e Many cores baseadas em redes-em-chip

Arquiteturas Multi e Many cores baseadas em redes-em-chip Arquiteturas Multi e Many cores baseadas em redes-em-chip Laboratório de Arquitetura e Redes de Computadores Departamento de Engenharia de Compuatação e Sistemas Digitais Escola Politécnica Universidade

Leia mais

Disciplina: Laboratório de Circuitos Digitais

Disciplina: Laboratório de Circuitos Digitais Universidade Federal de São Carlos Disciplina: Laboratório de Circuitos Digitais Prof. Dr. Emerson Carlos Pedrino 3ª Prática: Rotação de uma palavra nos Displays de 7 segmentos Data: 10/04/2014 Nome: Adrián

Leia mais

Máquinas Multiníveis

Máquinas Multiníveis Infra-Estrutura de Hardware Máquinas Multiníveis Prof. Edilberto Silva www.edilms.eti.br edilms@yahoo.com Sumário Conceitos básicos Classificação de arquiteturas Tendências da tecnologia Família Pentium

Leia mais

Estudo Comparativo das Tecnologias de Lógica Reconfigurável Altera e Xilinx. Comparative Study of Altera and Xilinx Reconfigurable Logic Technologies

Estudo Comparativo das Tecnologias de Lógica Reconfigurável Altera e Xilinx. Comparative Study of Altera and Xilinx Reconfigurable Logic Technologies CONFORTO, J.; VALLIM M.B.R. Artigo de Revisão / Review Article Estudo Comparativo das Tecnologias de Lógica Reconfigurável Altera e Xilinx Comparative Study of Altera and Xilinx Reconfigurable Logic Technologies

Leia mais

Simplifique a complexidade do sistema

Simplifique a complexidade do sistema 1 2 Simplifique a complexidade do sistema Com o novo controlador de alto desempenho CompactRIO Rodrigo Schneiater Engenheiro de Vendas National Instruments Leonardo Lemes Engenheiro de Sistemas National

Leia mais

Organização de Computadores 1

Organização de Computadores 1 Organização de Computadores 1 SISTEMA DE INTERCONEXÃO (BARRAMENTOS) Prof. Luiz Gustavo A. Martins Arquitetura de von Newmann Componentes estruturais: Memória Principal Unidade de Processamento Central

Leia mais

FPGA & VHDL. Tutorial

FPGA & VHDL. Tutorial FPGA & VHDL Tutorial 2009-2 FPGA FieldProgrammableGateArray Dispositivo lógico contendo uma matriz de: Células lógicas genéricas Configuráveis ( programadas ) para desempenhar uma função simples Chaves

Leia mais

Eletrônica Digital para Instrumentação. Prof: Herman Lima Jr hlima@cbpf.br

Eletrônica Digital para Instrumentação. Prof: Herman Lima Jr hlima@cbpf.br G03 Eletrônica Digital para Instrumentação Prof: hlima@cbpf.br Centro Brasileiro de Pesquisas Físicas Ministério da Ciência, Tecnologia e Inovação (MCTI) Eletrônica Digital para Instrumentação Objetivo

Leia mais

Microinformática Introdução ao hardware. Jeronimo Costa Penha SENAI - CFP/JIP

Microinformática Introdução ao hardware. Jeronimo Costa Penha SENAI - CFP/JIP Microinformática Introdução ao hardware Jeronimo Costa Penha SENAI - CFP/JIP Informática Informática é o termo usado para se descrever o conjunto das ciências da informação, estando incluídas neste grupo:

Leia mais

Disciplina: Laboratório de Circuitos Digitais

Disciplina: Laboratório de Circuitos Digitais Universidade Federal de São Carlos Disciplina: Laboratório de Circuitos Digitais Prof. Dr. Emerson Carlos Pedrino 7ª Prática: Introdução à Verilog-HDL e á Lógica Sequencial Data: 22/05/2014 Nome: Adrián

Leia mais

Sistemas Digitais I LESI :: 2º ano. Questões Práticas de Sistemas Sequenciais

Sistemas Digitais I LESI :: 2º ano. Questões Práticas de Sistemas Sequenciais Sistemas Digitais I LESI :: 2º ano Questões Práticas de Sistemas Sequenciais António Joaquim Esteves João Miguel Fernandes www.di.uminho.pt/~aje Bibliografia: secções 8.3.1, 8.4 (parte), 8.5 (parte), DDPP,

Leia mais

Introdução à Organização e Arquitetura de Computadores. Prof. Leonardo Barreto Campos 1

Introdução à Organização e Arquitetura de Computadores. Prof. Leonardo Barreto Campos 1 Introdução à Organização e Arquitetura de Computadores Prof. Leonardo Barreto Campos 1 Sumário Introdução; Evolução dos Computadores; Considerações da Arquitetura de von Neumann; Execução de uma instrução

Leia mais

Introdução. Hardware (Parte II) Informações Adicionais. Universidade Federal de Campina Grande Departamento de Sistemas e Computação

Introdução. Hardware (Parte II) Informações Adicionais. Universidade Federal de Campina Grande Departamento de Sistemas e Computação Universidade Federal de Campina Grande Departamento de Sistemas e Computação Introdução à Computação Hardware (Parte II) Informações Adicionais Prof. a Joseana Macêdo Fechine Régis de Araújo joseana@computacao.ufcg.edu.br

Leia mais

Capítulo 2 (Livro Texto*) - Notas de Aula - Parte II

Capítulo 2 (Livro Texto*) - Notas de Aula - Parte II Capítulo 2 (Livro Texto*) - Notas de Aula - Parte II 1. Organização de um Computador : Computador: formado por 3 módulos distintos: UCP (UAL e UC) Memória (memória principal ou memória RAM)

Leia mais

29/3/2011. Primeira unidade de execução (pipe U): unidade de processamento completa, capaz de processar qualquer instrução;

29/3/2011. Primeira unidade de execução (pipe U): unidade de processamento completa, capaz de processar qualquer instrução; Em 1993, foi lançada a primeira versão do processador Pentium, que operava a 60 MHz Além do uso otimizado da memória cache (tecnologia já amadurecida) e da multiplicação do clock, o Pentium passou a utilizar

Leia mais

DISPOSITIVOS LÓGICOS PROGRAMÁVEIS DLP. 15/8/2013 Prof. Joselito ELP1DLP1 / npee / DEE 1

DISPOSITIVOS LÓGICOS PROGRAMÁVEIS DLP. 15/8/2013 Prof. Joselito ELP1DLP1 / npee / DEE 1 DISPOSITIVOS LÓGICOS PROGRAMÁVEIS DLP 15/8/2013 Prof. Joselito ELP1DLP1 / npee / DEE 1 1. FAMILIAS DE CIRCUITOS LÓGICOS DIGITAIS 1.1. Família Lógica TTL 1.2. Família Lógica MOS/CMOS 15/8/2013 Prof. Joselito

Leia mais

DISPOSITIVOS DE MEMÓRIA

DISPOSITIVOS DE MEMÓRIA DISPOSITIVOS DE MEMÓRIA INTRODUÇÃO Um sistema digital é capaz de armazenar facilmente uma grande quantidade de informação por períodos de tempo curtos ou longos, sendo esta a sua principal vantagem sobre

Leia mais

Universidade Tuiuti do Paraná UTP Faculdade de Ciências Exatas - FACET

Universidade Tuiuti do Paraná UTP Faculdade de Ciências Exatas - FACET Universidade Tuiuti do Paraná UTP Faculdade de Ciências Exatas - FACET Hardware de Computadores Questionário II 1. A principal diferença entre dois processadores, um deles equipado com memória cache o

Leia mais

1. CAPÍTULO COMPUTADORES

1. CAPÍTULO COMPUTADORES 1. CAPÍTULO COMPUTADORES 1.1. Computadores Denomina-se computador uma máquina capaz de executar variados tipos de tratamento automático de informações ou processamento de dados. Os primeiros eram capazes

Leia mais

Dispositivos de Memória

Dispositivos de Memória Chipset Conjunto de chips que fazem a comunicação entre a e os demais dispositivos do computador. Todas as informações que trafegam pela placa mãe passam necessariamente pelo Chipset, que tem dois chips:

Leia mais

Ficha da Unidade Curricular

Ficha da Unidade Curricular Ficha da Unidade Curricular Curso: Engenharia Electrotécnica/Informática Ano Lectivo: 2010/11 Unidade Curr.: Sistemas Digitais Ano Curricular: 1 Créditos: 6 Responsável: João Paulo Coelho Regime: Anual

Leia mais

ANHANGUERA EDUCACIONAL. Capítulo 2. Conceitos de Hardware e Software

ANHANGUERA EDUCACIONAL. Capítulo 2. Conceitos de Hardware e Software ANHANGUERA EDUCACIONAL Capítulo 2 Conceitos de Hardware e Software Hardware Um sistema computacional é um conjunto de de circuitos eletronicos. Unidade funcionais: processador, memória principal, dispositivo

Leia mais

Roteamento e Comutação

Roteamento e Comutação Roteamento e Comutação Design de Rede Local Design Hierárquico Este design envolve a divisão da rede em camadas discretas. Cada camada fornece funções específicas que definem sua função dentro da rede

Leia mais

Desenvolvimento de Modelo ESL para Controlador de Acesso Direto à Memória (DMA)

Desenvolvimento de Modelo ESL para Controlador de Acesso Direto à Memória (DMA) UNIVERSIDADE FEDERAL DE PERNAMBUCO GRADUAÇÃO EM ENGENHARIA DA COMPUTAÇÃO CENTRO DE INFORMÁTICA 2012.1 Desenvolvimento de Modelo ESL para Controlador de Acesso Direto à Memória (DMA) PROPOSTA DE TRABALHO

Leia mais

Prof. Esp. Lucas Cruz

Prof. Esp. Lucas Cruz Prof. Esp. Lucas Cruz O hardware é qualquer tipo de equipamento eletrônico utilizado para processar dados e informações e tem como função principal receber dados de entrada, processar dados de um usuário

Leia mais

CIÊNCIA E TECNOLOGIA DO RIO INFORMÁTICA BÁSICA AULA 03. Docente: Éberton da Silva Marinho e-mail: ebertonsm@gmail.com 25/06/2014

CIÊNCIA E TECNOLOGIA DO RIO INFORMÁTICA BÁSICA AULA 03. Docente: Éberton da Silva Marinho e-mail: ebertonsm@gmail.com 25/06/2014 INSTITUTO FEDERAL DE EDUCAÇÃO, CIÊNCIA E TECNOLOGIA DO RIO GRANDE DO NORTE INFORMÁTICA BÁSICA AULA 03 Docente: Éberton da Silva Marinho e-mail: ebertonsm@gmail.com 25/06/2014 Unidades de armazenamento

Leia mais

1.3. Componentes dum sistema informático HARDWARE SOFTWARE

1.3. Componentes dum sistema informático HARDWARE SOFTWARE 1.3. Componentes dum sistema informático Computador Sistema Informático HARDWARE SOFTWARE + Periféricos Sistema Operativo Aplicações HARDWARE - representa todos os componentes físicos de um sistema informático,

Leia mais

Aula 11. Dispositivos Lógicos Programáveis. SEL Sistemas Digitais. Prof. Dr. Marcelo Andrade da Costa Vieira

Aula 11. Dispositivos Lógicos Programáveis. SEL Sistemas Digitais. Prof. Dr. Marcelo Andrade da Costa Vieira Aula 11 Dispositivos Lógicos Programáveis SEL 0414 - Sistemas Digitais Prof. Dr. Marcelo Andrade da Costa Vieira 1. Características Gerais l PLD Programmable Logical Device; l Agrupa um grande número de

Leia mais