Tutorial - Xilinx ISE

Tamanho: px
Começar a partir da página:

Download "Tutorial - Xilinx ISE"

Transcrição

1 Tutorial - Xilinx ISE Universidade Federal do Rio de Janeiro Escola Politécnica Departamento de Eletrônica e Computação Autores: Artur Lemos Ioav Lichtenstein Thiago Lobo Orientador: Mário Vaz

2 Índice: 1. Introdução 3 2. Instalação 4 3. Criando um novo projeto 5 4. VHDL Básico 8 5. Simulando um projeto Criando um DCM Implementando um projeto na FPGA Exemplos de Código 27 2

3 1. Introdução O ISE (Integrated Software Environment) é um software criado pela Xilinx para síntese e análise de modelos HDL. O usuário poderá escrever, compilar, realizar análises temporais (utilizando diferentes estímulos como entrada) e/ ou configurar FPGA s com o modelo criado. Este tutorial visa fornecer ao usuário uma visão geral do software, mostrando, de forma simples, desde a ativação do programa até a compilação, visualização de diagramas RTL e configuração da FPGA Spartan 3AN com códigos VHDL. Desenvolveremos o tutorial baseando- nos num módulo divisor de freqüência, e forneceremos outros exemplos de código na última sessão. 3

4 2. Instalação Para ativar o ISE, deve- se baixar os arquivos no link das- disciplinas/eel480 - sistemas- digitais, garantir que estão na pasta ~/Downloads e executar a seguinte instrução no Terminal: cd ; tar xvf /Downloads/Xilinx.tar ;./ise.sh Feito isso, o ISE deverá estar ativado e para executá- lo, deve- se utilizar o seguinte comando, também no Terminal: ise & O que nos leva à tela principal do programa: 4

5 3. Criando um novo projeto Na tela principal do ISE, devemos começar pelo menu File > New Project e a janela New Project Wizard será aberta. Campos: Name - nome do projeto Location - localização onde o projeto será salvo* Description - descrição do projeto * - utilizaremos a pasta /tmp, pois eventualmente, o ISE pode gerar arquivos de projeto muito grandes, os quais excederiam o limite por usuário da rede. Para garantir que os arquivos sejam guardados, devemos utilizar o comando Archive, como mostraremos posteriormente. 5

6 Feito isso, clicar em Next. Agora, devemos escolher outros parâmetros de projeto: Evaluation Development Board - Spartan 3AN Starter Kit Synthesis Tool - XST Prefered Language - VHDL VHDL Source Analysis Standard - VHDL- 93 Após clicar, mais uma vez, em Next, uma janela que resume os parâmetros do projeto será exibida. Confira os dados e caso estejam corretos, clique em Finish. Agora, temos um projeto (.xise) pronto, basta adicionar códigos- fonte VHDL e poderemos trabalhar com os modelos. Para importar um código VHDL já escrito, devemos acessar o menu Project > Add Copy of Source, navegar até o arquivo e selecioná- lo. Isso copiará o arquivo para o workspace do projeto e permitirá sua edição. Sempre que a edição dos arquivos estiver concluída, devemos utilizar o menu Project > Archive, para salvar o projeto na pasta do 6

7 usuário em formato.zip. Para utilizar esse projeto posteriormente, basta descompactar o.zip gerado dentro da pasta /tmp e abri- lo na primeira tela do ISE ( Open Project ). 7

8 4. VHDL Básico VHDL é uma linguagem de descrição de hardware que foi inicialmente utilizada para documentar componentes digitais e acabou sendo aproveitada para simulações/ implementações em FPGA desses mesmos componentes. Um arquivo VHDL deve conter, no mínimo, uma entity e uma architecture. A entity consiste na descrição da interface do módulo como uma caixa preta: especificando apenas as entradas e saídas. A architecture descreve o funcionamento do módulo, propriamente dito. Costumamos separar architectures em duas classes: comportamentais e estruturais. As comportamentais servem para definir como um módulo funcionará e as estruturais servem para definir como um módulo de alta hierarquia interliga diferentes módulos menores (o que não deixa de ser seu funcionamento). A utilização de módulos já prontos dentro de uma architecture se assemelha à instanciação de um objeto em uma linguagem Orientada a Objetos: especifica- se o tipo do objeto (sua Entity), escolhe- se um nome e mapeia- se suas entradas e saídas (semelhante ao construtor de classes em Java). Dentro da architecture utilizamos sinais (signals) para intercomunicar módulos. É aplicável um paralelo com as variáveis de um programa em Java. Comentários são feitos utilizando- se um - -, por exemplo: - - Isso é um comentário e não será utilizado na síntese 8

9 Segue um exemplo básico: uma porta lógica AND: importando std_logic da biblioteca IEEE 2. library IEEE; 3. use IEEE.std_logic_1164.all; essa é a entidade (entity) 6. entity ANDGATE is 7. port ( 8. I1 : in std_logic; 9. I2 : in std_logic; 10. O : out std_logic); 11. end entity ANDGATE; essa é a arquitetura comportamental (architecture) 14. architecture behavioral of ANDGATE is 15. begin 16. O <= I1 and I2; 17. end architecture behavioral; Como podemos ver, a leitura do bloco entity permite imaginarmos o seguinte: I1 I2 I1 ANDGATE O Depois, em architecture, o funcionamento do bloco é descrito: a saída O recebe a operação bit- a- bit AND entre as entradas I1 e I2. A operação AND foi incluída quando importamos a biblioteca IEEE. 9

10 Agora, podemos analisar o divisor de freqüência clk_div: 18. library IEEE; 19. use IEEE.STD_LOGIC_1164.ALL; 20. use ieee.numeric_std.all; entity clk_div is 23. generic (n : integer := 4); 24. port (clk_in : in std_logic; 25. div : out std_logic; 26. div2 : out std_logic ); 27. end clk_div; architecture divide of clk_div is 30. signal cnt, cnt1 : integer := 0; 31. signal div_temp, div_temp2 : std_logic := '0'; begin 34. div <= div_temp; 35. div2 <= div_temp2; 36. process (clk_in) begin 37. if (clk_in'event and clk_in = '1') then 38. if cnt >= n then 39. div_temp <= not(div_temp); 40. cnt <= 1; 41. else 42. div_temp <= div_temp; 43. cnt <= cnt + 1; 44. end if; 45. end if; 46. if (clk_in'event and clk_in = '0') then 47. if cnt1 >= n then 48. cnt1 <= 1; 49. div_temp2 <= '1'; 50. else 51. div_temp2 <= '0'; 52. cnt1 <= cnt1 + 1; 53. end if; 54. end if; 55. end process; 56. end divide; Como podemos ver, o módulo clk_div é descrito por uma entrada (clk_in) e duas saídas (div1 e div2). O generic n : integer := 4 é equivalente a um #define em C. n será 10

11 substituído em todos os lugares que aparece no código por 4. Trata- se de uma constante. Dentro da architecture, vemos um process, que é como uma função em C. Todo processo leva uma lista de sensibilidade, que no caso é (clk_in). Isso indica que sempre que clk_in for atualizado, o process será executado. Há, também, 4 signals: 2 contadores e 2 temporários que são mapeados às saídas do bloco, como indicam as linhas: 57. div <= div_temp; 58. div2 <= div_temp2; Sabendo- se disso, podemos realizar o resto da análise como em qualquer linguagem de programação. Perceberemos que div é simplesmente clk_in dividido por n e que div2 é um clock cujo período é o mesmo de div, porém seu duty- cycle (tempo em nível alto) é de 25%, ao contrário dos 50% em clk_in e div. 11

12 Agora, podemos analisar o outro módulo, base_tempo, que utiliza o módulo clk_div para dividir a frequência do sinal de entrada clk. Vale ressaltar o fato de que um DCM (Digital Clock Manager foi necessário, voltaremos a falar sobre ele na sessão 5): 59. library IEEE; 60. use IEEE.STD_LOGIC_1164.ALL; 61. use ieee.numeric_std.all; 62. library UNISIM; 63. use UNISIM.Vcomponents.ALL; entity base_tempo is 66. generic (n: integer := 2); 67. port (clk : in std_logic; 68. clk_out : out std_logic; 69. clk_out_2 : out std_logic; 70. locked : out std_logic); 71. attribute LOC : string ; 72. attribute LOC of clk : signal is "E12"; 73. attribute LOC of locked : signal is "W21"; 74. attribute LOC of clk_out : signal is "Y22"; 75. attribute LOC of clk_out_2 : signal is "Y18"; 76. end entity; architecture estrutural of base_tempo is 80. component clk_div 81. port (clk_in : in std_logic; 82. div : out std_logic; 83. div2 : out std_logic); 84. end component; COMPONENT dcm1 87. PORT(CLKIN_IN : IN std_logic; 88. CLKFX_OUT : OUT std_logic; 89. CLKIN_IBUFG_OUT : OUT std_logic; 90. CLK0_OUT : OUT std_logic; 91. LOCKED_OUT : OUT std_logic); 92. END COMPONENT; signal clk_int : std_logic;

13 100.begin 101.clk_divider : clk_div port map 102. (clk_in => clk_int, 103. div => clk_out, 104. div2 => clk_out_2); Inst_dcm1 : dcm1 PORT MAP ( 107. CLKIN_IN => clk, 108. CLKFX_OUT => clk_int, 109. CLKIN_IBUFG_OUT => open, 110. CLK0_OUT => open, 111. LOCKED_OUT => locked); 112.end estrutural; Começaremos a análise pela entity: como esperado, há uma entrada clk e duas saídas clk_out e clk_out_2. Há uma saída extra chamada locked, que simplesmente diz se o DCM está ou não sincronizado. As linhas associam sinais da entity a componentes da FPGA na qual o código será carregado. Exceto por E12, todos os sinais são mapeados a LEDS ou contatos, que podem ser identificados pelos mesmos nomes na placa física: 113.attribute LOC : string ; 114.attribute LOC of clk : signal is "E12"; 115.attribute LOC of locked : signal is "W21"; 116.attribute LOC of clk_out : signal is "Y22"; 117.attribute LOC of clk_out_2 : signal is "Y18"; A achitecture, como de se esperar, é estrutural, pois base_tempo trata- se de um módulo que unifica pequenos módulos (clk_div e dcm1). Para instanciarmos módulos, devemos antes fazer uma declaração do tipo COMPONENT nome... END COMPONENT;. Isso foi feito para o clk_div e o dcm1. Feito isso, podemos finalmente mapear as portas dos componentes (tal como inicializar um objeto em Java através de seu construtor) a sinais ou entradas/saídas da entidade. 13

14 No caso, utilizamos um sinal interno clk_int, que serve como saída do dcm1. Não podemos utilizar diretamente o clock da placa (50mHz), pois há problemas como capacitâncias parasitas que prejudicariam o funcionamento do circuito. De fato, resolvemos esse problema através do dcm1, que coloca uma frequência menor em clk_int para que essa seja utilizada como entrada para o clk_div. As saídas do clk_div são intuitivamente mapeadas às saídas clk_out e clk_out_2 da base_tempo. Acreditamos que a esse ponto, o leitor já adquiriu certa noção de código VHDL e de seu funcionamento, sendo capaz de continuar por si só utilizando referências online para funções mais complexas. 14

15 5. Simulando um projeto Completados os códigos VHDL, podemos realizar simulações e visualizar seu diagrama RTL através do ISE. Vale lembrar que podemos ver erros de sintaxe em tempo real na aba Errors, basta um CTRL + s para salvar o código e a janela será atualizada com os erros encontrados: Para sintetizar os arquivos, devemos selecionar a Implementation View em Design > Hierarchy. Feito isso, devemos clicar uma vez sobre o nome do componente que será sintetizado: 15

16 Feito isso, basta um duplo- clique em Synthesize - XST. Espere alguns instantes e verifique o log de erros para saber se a sintetização foi feita com sucesso. Para visualizar o esquemático RTL, basta expandir Synthesize - XST, um dupo- clique em View RTL Schematic e selecionar Start with a schematic of the top- level block no diálogo que será aberto. Com o Top- level schematic aberto, podemos dar duplos- cliques de forma a expandir as caixas- pretas que compoem o circuito. Podemos, finalmente, simular o código. Selecione a Simulation View em Design > Hierarchy e clique uma vez sobre o nome do componente que será simulado. Feito isso, expanda isim simulator e dê duplos- cliques, na sequência, em: Behavioral Check Sintax (um último teste de sintaxe) e 16

17 Simulate Behavioral Model (a simulação propriamente dita). Caso tudo corra bem, a janela do simulador (isim) será aberta: 17

18 Agora, podemos forçar um valor no clock de forma a observar a saída do circuito (que se trata de um clk_div). Devemos clicar com o botão direito na variável desejada e selecionar Force clock. Isso abrirá uma janela com diversas caixas a serem preenchidas: Value Radix - Tipo de clock: binário, ASCII, Decimal etc Leading Edge Value - Valor inicial de clock Trailing Edge Value - Valor final de clock Starting at Time Offset - Quando o clock começa Cancel After Time Offset - Quando o clock termina Duty Cycle (%) - Razão entre Leading Edge e Trailing Edge Period - Auto- explicativo Preenchendo da seguinte forma, obtemos um período de 50mHz. 18

19 Agora, devemos programar por quanto tempo a simulação será executada. Basta preencher a caixa de texto na barra de ícones e então clicar na setá para a esquerda (apagando a última simulação). Feito isso, devemos clicar no botão de play com uma ampulheta e na terceira lupa (com um X) para ajustar a escala dos gráficos ao tamanho da janela. Esse é o resultado final. Como podemos ver, o clock foi devidamente dividido. 19

20 Outra forma de impor valores de clock e de certas entradas é utilizar um TestBench. Para tanto, devemos selecionar a Implementation View em Design > Hierarchy, clicar com o botão direito sobre o módulo principal, ir em New > Source > TestBench. Devemos dar um nome ao TestBench (normalmente adicionando- se o sufixo _tb ao nome do componente original) e ao confirmar seremos levado à janela de seu código fonte (o código exemplo foi usado em um debouncer, que será descrito na última sessão): 118.LIBRARY ieee; 119.USE ieee.std_logic_1164.all; ENTITY debouncer2_tb IS 122.END debouncer2_tb; ARCHITECTURE behavior OF debouncer2_tb IS COMPONENT debouncer PORT( 128. Clk : IN std_logic; 129. Key : IN std_logic; 130. pulse : OUT std_logic 131. ); 132. END COMPONENT; Inputs 136. signal Clk : std_logic := '0'; 137. signal Key : std_logic := '0'; Outputs 140. signal pulse : std_logic; Clock period definitions 143. constant Clk_period : time := 10 ms; BEGIN Instantiate the Unit Under Test (UUT) 148. uut: debouncer2 PORT MAP ( 149. Clk => Clk, 20

21 150. Key => Key, 151. pulse => pulse 152. ); Clock process definitions 155. Clk_process :process 156. begin 157. Clk <= '0'; 158. wait for Clk_period/2; 159. Clk <= '1'; 160. wait for Clk_period/2; 161. end process; Stimulus process 165. stim_proc: process 166. begin 167. Key <= '0'; 168. wait for Clk_period; 169. Key <= '1'; 170. wait for Clk_period * 6; 171. Key <= '0'; 172. wait for Clk_period * 4; 173. Key <= '1'; 174. wait for Clk_period * 4; 175. Key <= '0'; 176. wait; 177. end process; END; Como pode- se ver, o módulo do exemplo possui duas entradas clk e key e uma saída pulse. Podemos inicializar as variáveis como nas linhas 136 e 137 e também definir um período para o clock. Feito isso, podemos implementar o Clk_process, que define como o clock mudará no tempo. No caso, como podemos ver, ele é forçado a 0, meio período é esperado, ele é forçado a 1, meio período é esperado e o processo se repete, gerando uma onda quadrada. O mesmo pode ser feito para os estímulos (as entradas) do componente no processo stim_proc. Podemos usar a 21

22 palavra reservada wait para definir os atrasos entre as mudanças de valores (que também podem ser definidas). Com o TestBench feito, basta simulá- lo no lugar do componente original e teremos o processo automatizado, sem necessidade de forçar clocks ou coisas do tipo. 22

23 6. Criando um DCM A criação de um DCM consiste nos seguintes passos: - Selecionar o Implementation View em Design > Hierarchy - Clicar com o botão direito no projeto e selecionar New Source - Busque por DCM na barra de pesquisa e selecione Single DCM_SP conforme a seguinte imagem: - Dê um nome diferente de dcm, tal como dcm1 ou dcm2, e clique em Finish - Feito isso, você será levado à janela Xilinx Clocking Window - Nessa janela é possível selecionar os mais variados parâmetros para o clock que o DCM transformará. 23

24 Selecione conforme as exigências de seu projeto (como multiplicar/dividir o clock) - Clique em Next, selecione Use Global Buffers for all Selected Clock Outputs - Clique em Next, selecione Show all Modifiable Outputs e clique em Finish 24

25 - O DCM está criado, agora basta utilizar o código para o componente de DCM como mostrado na sessão 4. 25

26 7. Implementando um projeto na FPGA Caso os sinais da entity do componente já estejam mapeados para partes da FPGA, como mostrado na sessão 4, você está pronto para implementar o código na placa. É importante, obviamente, que a placa esteja ligada ao PC pela interface USB e esteja devidamente alimentada. Em primeiro lugar, devemos escolher a Implementation View em Design > Hierarchy, feito isso, clique uma vez sobre o módulo principal do seu projeto e clique duas vezes sobre Configure Target Device. A janela do impact será aberta. Clique duas vezes em Boundary Scan e clique com o botão direito no espaço em branco e selecione Initialize Chain. Na primeira miniatura da FPGA, carregue o arquivo.bit que correponde ao seu projeto. Deixei a segunda em bypass. Clique com o botão direito sobre a primeira miniatura e clique em Program FPGA Only. O programa deve estar carregado na placa. Observe as partes para as quais os sinais foram mapeados. 26

27 8. Exemplos de código Debouncer: 180.LIBRARY ieee; 181.USE ieee.std_logic_1164.all; 182.USE ieee.std_logic_unsigned.all; ENTITY debouncer2 IS 185. PORT (Clk : IN STD_LOGIC; 186. Key : IN STD_LOGIC; 187. pulse : OUT STD_LOGIC); 188.END debouncer2; ARCHITECTURE shift_register OF debouncer2 IS 191.SIGNAL SHIFT_KEY : STD_LOGIC_VECTOR(3 DOWNTO 0) := "1111"; BEGIN 194.PROCESS 195. BEGIN WAIT UNTIL Clk'EVENT AND Clk = '1'; SHIFT_KEY(2 DOWNTO 0) <= SHIFT_KEY(3 DOWNTO 1); 200. SHIFT_KEY(3) <= NOT Key; IF SHIFT_KEY(3 DOWNTO 0)="0000" THEN PULSE <= '1'; 203. ELSE PULSE <= '0'; 204. END IF; END PROCESS; 207.END shift_register; Primeiramente, explicaremos a motivação do circuito: remover trepidações transientes provenientes de chaves mecânicas. O módulo possui duas entradas Clk e Key e uma saída Pulse. Key é o sinal proveniente da chave mecânica, pulse é a saída que corresponde ao sinal da chave corrigido. O circuito funciona inicializando o registrador para 1111 e esperando que ele se torne 0000, para fazer pulse = 1. 27

28 A forma de atualizar o valor do registrador é ir colocando not key no lado direito do vetor de bits do registrador. Se por 4 clocks seguidos, o valor de key amostrado estiver em 1, o registrador estará no estado 0000 e pulse valerá 1, caso contrário pulse valerá 0. Isso faz sentido pois evita que a saída seja atualizada durante o transiente da vibração da chave, fazendo com que ela só seja ativada algum tempo depois (o suficiente para que a trepidação termine). Logo, haverá um atraso muito pequeno (porém detectável em osciloscópio) na resposta da chave. A seguinte imagem, adquirida em um osciloscópio ligado à FPGA com o programa do Debouncer carregado demonstra tal atraso e comprova o funcionamento do circuito: 28

29 A curva mais baixa é key e a mais alta é not pulse, vemos, portanto, que pulse só vai a 1 algum tempo depois de key ter ido a 1. Esse é o tempo do transiente de vibração. Referências: An ISE Tutorial - Luiz Rennó Projeto Debouncer - Camila Simões da Costa Cunha Vasconcellos, Henrique Duarte Faria, Patrick Svaiter, Paulo Roberto Yamasaki Catunda Relatório de Sistemas Digitais: Projeto Base de Tempo - Michael D. Barreto e Silva, Luiz Carlos, Gabriela Dantas 29

ISE com VHDL estrutural

ISE com VHDL estrutural UFRJ - DEL EEL480-2014 Laboratório - Turmas EL1, EL2 ISE com VHDL estrutural Texto para as aulas de laboratório, a ser complementado pelo Tutorial dos Alunos e manuais da Xilinx UG331, UG334 e UG695. Mário

Leia mais

Primeiro Trabalho de Sistemas Digitais

Primeiro Trabalho de Sistemas Digitais Primeiro Trabalho de Sistemas Digitais 1 Introdução O objetivo deste trabalho é criar um divisor de frequência simples em linguagem VHDL comportamental e simular o projeto no software ISE. 2 Planejamento

Leia mais

Segundo Trabalho de Sistemas Digitais

Segundo Trabalho de Sistemas Digitais Segundo Trabalho de Sistemas Digitais Alunos: Márcio Teixeira, Louise Landi e Tharsus Proux. Professor: Mário Vaz Filho. 1 Introdução Tutorial de um Divisor de Frequências em VHDL O objetivo deste trabalho

Leia mais

Introdução à Simulação em VHDL. Ney Laert Vilar Calazans

Introdução à Simulação em VHDL. Ney Laert Vilar Calazans Introdução à Simulação em VHDL Ney Laert Vilar Calazans 06/março/2010 Descrição Completa do Somador library IEEE; use IEEE.Std_Logic_1164.all; entity halfadd is port (A, B: in std_logic; Sum, Carry: out

Leia mais

Introdução VHDL Parte 4 - Testbench

Introdução VHDL Parte 4 - Testbench Introdução VHDL Parte 4 - Testbench Prof. Mário Luiz Rodrigues mario.luiz@ifmg.edu.br Prof. Otávio Gomes otavio.gomes@ifmg.edu.br 1 library IEEE; use IEEE.std_logic_1164.all; entity portae is port( a:

Leia mais

Laboratório de Eletrônica Digital Tutorial Quartus II (Procedimentos para Criação e Simulação de Projetos Digitais)

Laboratório de Eletrônica Digital Tutorial Quartus II (Procedimentos para Criação e Simulação de Projetos Digitais) Universidade Federal do Pará Instituto de Tecnologia Faculdade de Engenharia Elétrica Laboratório de Eletrônica Digital Tutorial Quartus II (Procedimentos para Criação e Simulação de Projetos Digitais)

Leia mais

FPGA & VHDL. Tutorial

FPGA & VHDL. Tutorial FPGA & VHDL Tutorial 2009-2 FPGA FieldProgrammableGateArray Dispositivo lógico contendo uma matriz de: Células lógicas genéricas Configuráveis ( programadas ) para desempenhar uma função simples Chaves

Leia mais

Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação

Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação Aula 3-P Descrição de somadores em VHDL, síntese com o Quartus

Leia mais

Plano de Aula 26/8/13. VHDL - Visão Geral. Obje%vos: VHDL - Visão Geral. Descrição de circuito digital em VHDL

Plano de Aula 26/8/13. VHDL - Visão Geral. Obje%vos: VHDL - Visão Geral. Descrição de circuito digital em VHDL Instituto Federal de Santa Catarina Área de Telecomunicações SST20707 Síntese de Sistemas de Telecomunicações Prof. Roberto de Matos viso de direitos utorais: Transparências baseadas no trabalho do Prof.

Leia mais

FSM em VHDL e Verificação Funcional. CMP de junho de 2006

FSM em VHDL e Verificação Funcional. CMP de junho de 2006 FSM em VHDL e Verificação Funcional CMP 238 20 de junho de 2006 Máquina de Estados: exemplo Reset= S0 S S3 X= S2 Descrição em VHDL library IEEE; use IEEE.STD_LOGIC_64.ALL; use IEEE.STD_LOGIC_ARITH.ALL;

Leia mais

Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação

Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação Lógica Programável INE 5348 Aula 1-P Formas de implementação

Leia mais

Laboratório sobre Implementação de Sistemas Digitais com HDLs Ferramentas de Captura e Validação

Laboratório sobre Implementação de Sistemas Digitais com HDLs Ferramentas de Captura e Validação Laboratório sobre Implementação de Sistemas Digitais com HDLs Ferramentas de Captura e Validação 1 Laboratório sobre Implementação de Sistemas Digitais com HDLs Ferramentas de Captura e Validação Prática:

Leia mais

ISE com VHDL comportamental

ISE com VHDL comportamental UFRJ - DEL EEL480-2014 Laboratório - Turmas EL1, EL2 ISE com VHDL comportamental Texto para as aulas de laboratório, a ser complementado pelo Tutorial dos Alunos e manuais da Xilinx UG331, UG334 e UG695.

Leia mais

FPGA & VHDL. Tutorial Aula 1. Computação Digital

FPGA & VHDL. Tutorial Aula 1. Computação Digital FPGA & VHDL Tutorial Aula 1 Computação Digital FPGA Field Programmable Gate Array Dispositivo lógico contendo uma matriz de: Células lógicas genéricas Configuráveis ( programáveis ) para desempenhar uma

Leia mais

LABORG. Parte 1 Introdução à Simulação em VHDL. Ney Laert Vilar Calazans

LABORG. Parte 1 Introdução à Simulação em VHDL. Ney Laert Vilar Calazans LABORG Parte 1 Introdução à Simulação em VHDL Ney Laert Vilar Calazans 12/março/2012 Introdução VHDL: Uma linguagem para descrever sistemas digitais Outras linguagens de descrição de hardware SystemC,

Leia mais

ALTERA Quartus II. Manual

ALTERA Quartus II. Manual ALTERA Quartus II Manual 2014 O Quartus II é um ambiente de desenvolvimento integrado (IDE Integrated Development Environment), utilizado para o desenvolvimento de sistemas digitais utilizando FPGAs (Field

Leia mais

Exercícios de Laboratório 3

Exercícios de Laboratório 3 Tradução do Laboratory Exercise 3 disponível em Exercícios de Laboratório 3 Latches, Flip-Flops e Registradores Este exercício

Leia mais

Lógica Reconfigurável

Lógica Reconfigurável UNIVERSIDADE TECNOLÓGICA FEDERAL DO PARANÁ DEPARTAMENTO ACADÊMICO DE ELETROTÉCNICA CURSO DE ENGENHARIA INDUSTRIAL ELÉTRICA MESTRADO EM SISTEMAS DE ENERGIA Lógica Reconfigurável - amauriassef@utfpr.edu.br

Leia mais

Tutorial Xilinx Somador e Subtrator Ciência da Computação 5º Período. Universidade Federal de Alagoas Campus Arapiraca Disciplina: Sistemas Digitais

Tutorial Xilinx Somador e Subtrator Ciência da Computação 5º Período. Universidade Federal de Alagoas Campus Arapiraca Disciplina: Sistemas Digitais Tutorial Xilinx Somador e Subtrator Ciência da Computação 5º Período Universidade Federal de Alagoas Campus Arapiraca Disciplina: Sistemas Digitais Matheus D Eça Torquato de Melo 13/04/2010 13 de abr.

Leia mais

Suporte de funcionamento e interacção com o teclado

Suporte de funcionamento e interacção com o teclado Suporte de funcionamento e interacção com o teclado Autor: André Amaral Costa (nº 7578) Curso: Universidade de Aveiro Data: 6 de Dezembro de 006 Disciplina: Docente: Valery Sklyarov Introdução Neste trabalho

Leia mais

Lógica Reconfigurável

Lógica Reconfigurável UNIVERSIDADE TECNOLÓGICA FEDERAL DO PARANÁ DEPARTAMENTO ACADÊMICO DE ELETROTÉCNICA CURSO DE ENGENHARIA INDUSTRIAL ELÉTRICA MESTRADO EM SISTEMAS DE ENERGIA Lógica Reconfigurável - amauriassef@utfpr.edu.br

Leia mais

Introdução à Linguagem VHDL

Introdução à Linguagem VHDL Sistemas Digitais EEL 480 Introdução à Linguagem VHDL Luís Henrique M. K. Costa luish@gta.ufrj.br UFRJ DEL/Poli e PEE/COPPE P.O. Box 68504 - CEP 21941-972 - Rio de Janeiro - RJ Brasil - http://www.gta.ufrj.br

Leia mais

Tutorial para criação de circuitos digitais em VHDL no Quartus Prime 16.1

Tutorial para criação de circuitos digitais em VHDL no Quartus Prime 16.1 Tutorial para criação de circuitos digitais em VHDL no Quartus Prime 16.1 Felipe Valencia de Almeida Profa. Dra. Liria Sato Prof. Dr. Edson Midorikawa Versão 1.0 1º Semestre de 2017 Essa apostila tem como

Leia mais

PCS3225. Sistemas Digitais II. Biestáveis em VHDL. Biestáveis em VHDL. Marcos A. Simplicio Jr.

PCS3225. Sistemas Digitais II. Biestáveis em VHDL. Biestáveis em VHDL. Marcos A. Simplicio Jr. PCS3225 Sistemas Digitais II Biestáveis em VHDL Marcos A. Simplicio Jr. Slides baseados em material de Edson Midorikawa e Bruno Albertini PCS3225-2015 1 Tópicos VHDL: recapitulação Circuitos sequenciais

Leia mais

Departamento de Engenharia Elétrica e de Computação EESC-USP. Guia de Projetos VHDL utilizando o QUARTUIS II. Profa. Luiza Maria Romeiro Codá

Departamento de Engenharia Elétrica e de Computação EESC-USP. Guia de Projetos VHDL utilizando o QUARTUIS II. Profa. Luiza Maria Romeiro Codá Departamento de Engenharia Elétrica e de Computação EESC-USP Guia de Projetos VHDL utilizando o QUARTUIS II Profa. Luiza Maria Romeiro Codá 1. Criando um novo projeto: 1.1 Iniciando o Quartus II, criando

Leia mais

Introdução ao VHDL. Circuitos Lógicos. DCC-IM/UFRJ Prof. Gabriel P. Silva. Original por Ayman Wahba

Introdução ao VHDL. Circuitos Lógicos. DCC-IM/UFRJ Prof. Gabriel P. Silva. Original por Ayman Wahba Introdução ao VHDL Circuitos Lógicos DCC-IM/UFRJ Prof. Gabriel P. Silva Original por Ayman Wahba VHDL É uma linguaguem de descrição de hardware, ou seja, uma forma estruturada para a descrição de circuitos

Leia mais

Módulo 4 Introdução ao VHDL

Módulo 4 Introdução ao VHDL 1 Módulo 4 Introdução ao VHDL Conceitos básicos do VHDL Modelação, Simulação e Síntese de Sistemas Digitais entity declara o interface de um componente; architecture descreve a realização de um componente;

Leia mais

Fundamentos de sistemas digitais. Test-bench. prof. Dr. Edson Ifarraguirre Moreno

Fundamentos de sistemas digitais. Test-bench. prof. Dr. Edson Ifarraguirre Moreno Fundamentos de sistemas digitais Test-bench prof. Dr. Edson Ifarraguirre Moreno 2 / 8 Validação por Simulação Utilizar um circuito de teste: test-bench Contém um circuito gerador de teste e uma instância

Leia mais

GUIA DE UTILIZAÇÃO DO VIVADO DESIGN SUITE WEBPACK: INTRODUÇÃO AO AMBIENTE DO PROJETO

GUIA DE UTILIZAÇÃO DO VIVADO DESIGN SUITE WEBPACK: INTRODUÇÃO AO AMBIENTE DO PROJETO GUIA DE UTILIZAÇÃO DO VIVADO DESIGN SUITE WEBPACK: INTRODUÇÃO AO AMBIENTE DO PROJETO VERSÃO 1.0 - SISTEMAS DIGITAIS - Aleksandar Ilic - Nuno Roma O programa Vivado WebPack da Xilinx é um ambiente integrado

Leia mais

Lógica Reconfigurável

Lógica Reconfigurável UNIVERSIDADE TECNOLÓGICA FEDERAL DO PARANÁ DEPARTAMENTO ACADÊMICO DE ELETROTÉCNICA CURSO DE ENGENHARIA INDUSTRIAL ELÉTRICA MESTRADO EM SISTEMAS DE ENERGIA Lógica Reconfigurável - amauriassef@utfpr.edu.br

Leia mais

VHDL. Descrição e Projeto de Circuitos Utilizando VHDL

VHDL. Descrição e Projeto de Circuitos Utilizando VHDL VHDL Descrição e Projeto de Circuitos Utilizando VHDL O projeto de circuitos digitais de forma automatizada se tornou prática industrial comum. As principais vantagens são : Portabilidade de tecnologia

Leia mais

Livro texto: VHDL- Descrição e Síntese de Circuitos Digitais Roberto D Amore Editora LTC

Livro texto: VHDL- Descrição e Síntese de Circuitos Digitais Roberto D Amore Editora LTC Livro texto: VHDL- Descrição e Síntese de Circuitos Digitais Roberto D Amore Editora LTC Linguagem para descrever o funcionamento de um sistema (o que e como o sistema faz). O sistema descrito em HDL

Leia mais

Circuitos/Sistemas Integrados Digitais (CID/SID)

Circuitos/Sistemas Integrados Digitais (CID/SID) Circuitos/Sistemas Integrados Digitais (CID/SID) Ano Lectivo 2002/03 1 a Trabalho de Laboratório Paulo Flores, Horácio Neto 1 Objectivos Pretende-se com este trabalho de laboratório introduzir e familiarizar

Leia mais

Exercícios Referentes à Prova P1

Exercícios Referentes à Prova P1 ORGANIZAÇÃO E ARQUITETURA DE COMPUTADORES I Referentes à Prova P1 prof. Dr. César Augusto M. Marcon prof. Dr. Edson Ifarraguirre Moreno 2 / 11 1. Assinale com V se a sentença estiver correta, caso contrário

Leia mais

VHDL é uma linguagem bastante complexa!

VHDL é uma linguagem bastante complexa! arquitetura de computadores UTFPR DAELN Engenharia de Computação prof. Juliano µprocessador 1 Tutorial de Introdução ao VHDL Uma HDL é uma Hardware Definition Language, ou seja, não é uma linguagem de

Leia mais

PSI-3451 Projeto de CI Lógicos Integrados. Aula 9- Atividade de Aula com Memória e FIFO

PSI-3451 Projeto de CI Lógicos Integrados. Aula 9- Atividade de Aula com Memória e FIFO PSI-3451 Projeto de CI Lógicos Integrados Aula 9- Atividade de Aula com Memória e FIFO A parte prática da aula 9 permitirá ao aluno familiarizar-se com a geração de memórias (SRAM e FIFO) na foram de IP

Leia mais

Tutorial para criação de circuitos digitais utilizando diagrama esquemático no Quartus Prime 16.1

Tutorial para criação de circuitos digitais utilizando diagrama esquemático no Quartus Prime 16.1 Tutorial para criação de circuitos digitais utilizando diagrama esquemático no Quartus Prime 16.1 Felipe Valencia de Almeida Profa. Dra. Liria Sato Prof. Dr. Edson Midorikawa Versão 1.0 1º Semestre de

Leia mais

Introdução ao desenho de circuitos digitais usando Xilinx WebPACK 4.1 e linguagem ABEL

Introdução ao desenho de circuitos digitais usando Xilinx WebPACK 4.1 e linguagem ABEL Laboratórios Integrados I 1 Introdução ao desenho de circuitos digitais usando Xilinx WebPACK 4.1 e linguagem ABEL Introdução Este tutorial apresenta os principais passos associados à síntese de um circuito

Leia mais

LSCAD André Luiz junho ModelSim-Altera 6.6d

LSCAD André Luiz junho ModelSim-Altera 6.6d LSCAD André Luiz junho 2011 ModelSim-Altera 6.6d Motivação Diretório Criação de Projetos Compilação Simulação Roteiro Motivação Ferramenta independete Maior acurácia Ferramenta robusta First Look Diretório

Leia mais

Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação

Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação Aula 2-P Simulação gate-level de um somador completo com

Leia mais

Gustavo G. Parma. Objetivos: O aluno deverá ser capaz de compreender os conceitos básicos de VHDL.

Gustavo G. Parma. Objetivos: O aluno deverá ser capaz de compreender os conceitos básicos de VHDL. Introdução à VHDL Gustavo G. Parma Assunto: Introdução à VHDL. Objetivos: O aluno deverá ser capaz de compreender os conceitos básicos de VHDL. 1 Introdução Teórica VHDL, VHSIC (Very High Speed Integrated

Leia mais

Usando o Eclipse - Fundamentos. Professor Vicente Paulo de Camargo

Usando o Eclipse - Fundamentos. Professor Vicente Paulo de Camargo Usando o Eclipse - Fundamentos Professor Vicente Paulo de Camargo O Eclipse é um ambiente de desenvolvimento integrado (IDE) É a ferramenta mais utilizada pelas empresas, visto que pode ser configurada

Leia mais

ELETRÔNICA DIGITAL I

ELETRÔNICA DIGITAL I ELETRÔNICA DIGITAL I DE10-LITE Programação Utilizando VHDL Professor Dr. Michael Klug 1 Comparativo Linguagens Linguagem de Programação x HDL Supondo que cada instrução leve 20ns entre 40 e 60ns para conclusão

Leia mais

Registradores. Circuitos Lógicos. DCC-IM/UFRJ Prof. Gabriel P. Silva

Registradores. Circuitos Lógicos. DCC-IM/UFRJ Prof. Gabriel P. Silva Registradores Circuitos Lógicos DCC-IM/UFRJ Prof. Gabriel P. Silva 2 Registradores Conjunto de elementos de memória (flip-flops ou latches) utilizados para armazenar n bits. Utilizam um único sinal de

Leia mais

ELETRÔNICA DIGITAL I

ELETRÔNICA DIGITAL I ELETRÔNICA DIGITAL I DE10-LITE Programação Utilizando Diagrama Esquemático Professor Dr. Michael Klug 1 Utilização do software Download: https://fpgasoftware.intel.com/ Versão Lite: gratuita Para utilização

Leia mais

PSI-3451 Projeto de CI Lógicos Integrados. Aula 4

PSI-3451 Projeto de CI Lógicos Integrados. Aula 4 PSI-3451 Projeto de CI Lógicos Integrados Aula 4 A parte prática da aula 4 pretende colocar o aluno em contato com mais algumas estruturas sintáticas da linguagem, particularmente funções e procedimentos,

Leia mais

Passos Iniciais para simulação de um projeto utilizando portas lógicas

Passos Iniciais para simulação de um projeto utilizando portas lógicas Nome Hallan William Veiga Orientador: Joselito Anastácio Heerdt Passos Iniciais para simulação de um projeto utilizando portas lógicas Inicialmente deve ser executado o software Quartus II 10.1.Na tela

Leia mais

VIVADO TUTORIAL 101: CADEADO DIGITAL

VIVADO TUTORIAL 101: CADEADO DIGITAL VIVADO TUTORIAL 101: CADEADO DIGITAL VERSÃO 1.0 - SISTEMAS DIGITAIS - Este tutorial inclui notas adicionais na margem esquerda da página (do tipo G(X.X)). Estas notas referram-se ao(s) passo(s) X.X do

Leia mais

Para se criar um subtrator e somador, necessitaremos de três componentes, o Somador de 8 bits, o multiplexador e inversor.

Para se criar um subtrator e somador, necessitaremos de três componentes, o Somador de 8 bits, o multiplexador e inversor. TUTORIAL XILINX SOMADOR E SUBTRATOR Para se criar um subtrator e somador, necessitaremos de três componentes, o Somador de 8 bits, o multiplexador e inversor. Somador de 8 Bits Primeiramente, criaremos

Leia mais

Prof. Leonardo Augusto Casillo

Prof. Leonardo Augusto Casillo UNIVERSIDADE FEDERAL RURAL DO SEMI-ÁRIDO CURSO: CIÊNCIA DA COMPUTAÇÃO Aula 3 Introdução ao VHDL Prof. Leonardo Augusto Casillo O que significa VHDL? Very High Speed Integrated Circuit Hardware Description

Leia mais

Disciplina de SSC Elementos de Lógica Digital II (Prática)

Disciplina de SSC Elementos de Lógica Digital II (Prática) USP - ICMC - SSC SSC 0113 (Lab ELD II) - 2o. Semestre 2012 Disciplina de SSC0113 - Elementos de Lógica Digital II (Prática) 1 Prof. Fernando Osório Email: fosorio [at] { icmc. usp. br, gmail. com } Estagiário

Leia mais

Sistemas Digitais. Tutorial Quartus II - Aprendendo as Ferramentas Básicas. Monitoria SD Daniel Alexandro/Reniê Delgado/Vanessa Ogg

Sistemas Digitais. Tutorial Quartus II - Aprendendo as Ferramentas Básicas. Monitoria SD Daniel Alexandro/Reniê Delgado/Vanessa Ogg Sistemas Digitais Tutorial Quartus II - Aprendendo as Ferramentas Básicas Monitoria SD 2011.2 Daniel Alexandro/Reniê Delgado/Vanessa Ogg Editado por (DARA) 1 Abrindo o Quartus... 2 - Inicializando... Selecione

Leia mais

Manual de instruções. Requisito de sistema:

Manual de instruções. Requisito de sistema: Manual de instruções Requisito de sistema: Windows XP / Windows Vista / Windows 7 / Windows 8 (OS) 1 Porta USB (1.1 / 2.0) livre 30 MB disponíveis em disco Instalação: Primeiramente, conecte o mouse na

Leia mais

Laboratório 01 NetBeans

Laboratório 01 NetBeans Universidade Federal de Uberlândia Faculdade de Computação GGI030 Programação Orientada a Objetos 2o. Semestre de 2017 Prof. Renato Pimentel Atividade individual. Laboratório 01 NetBeans 1 Introdução Os

Leia mais

Introdução ao IDE Netbeans (Programação Java)

Introdução ao IDE Netbeans (Programação Java) Universidade Federal do ABC Disciplina: Processamento da Informação (BC-05045) Assunto: Introdução ao IDE Netbeans Introdução ao IDE Netbeans (Programação Java) Conteúdo 1. Introdução... 1 1.1. Programas

Leia mais

Introdução à Linguagem VHDL

Introdução à Linguagem VHDL Fundamentos de Sistemas Digitais Introdução à Linguagem VHDL prof. Dr. Alexandre M. Amory Referências Sugiro estudarem nesta ordem de preferência: Vahid, Cap 9 Ignorar verilog e SystemC Bem didático! um

Leia mais

DISPOSITIVOS LÓGICOS PROGRAMÁVEIS - DLP. 10/03/2017 Prof. Alexandre - ELP1DLP1 / DEE

DISPOSITIVOS LÓGICOS PROGRAMÁVEIS - DLP. 10/03/2017 Prof. Alexandre - ELP1DLP1 / DEE DISPOSITIVOS LÓGICOS PROGRAMÁVEIS - DLP 1 Objetivos da Aula: Introdução à Linguagem VHDL Plano de Ensino Conteúdo Programático 3. Ferramentas de Desenvolvimento 3.4. Editor de texto (programação VHDL ou

Leia mais

O diagrama ASM contém dois elementos básicos: o bloco de estado e o bloco de decisão.

O diagrama ASM contém dois elementos básicos: o bloco de estado e o bloco de decisão. 14 3.2 Projeto da Unidade de Controle (VHDL) 3.2.1 Diagrama ASM (Algorithmic State Machine) ASM é um fluxograma através do qual se representa a seqüência de ações que a unidade de controle de um sistema

Leia mais

Revisão: Projeto de Processadores em VHDL

Revisão: Projeto de Processadores em VHDL Universidade Federal do Rio Grande do Norte Departamento de Engenharia de Computação e Automação Revisão: Projeto de Processadores em VHDL DCA0119 Sistemas Digitais Heitor Medeiros Florencio 1 Sumário

Leia mais

Aprendendo a utilizar a Ferramenta Modelsim. Professor: Lucas Cambuim (lfsc)

Aprendendo a utilizar a Ferramenta Modelsim. Professor: Lucas Cambuim (lfsc) Aprendendo a utilizar a Ferramenta Modelsim Professor: Lucas Cambuim (lfsc) Visão da Ferramenta ModelSim É um simulador computacional para análise de sistemas digitais Visão da Ferramenta ModelSim Possui

Leia mais

DISPOSITIVOS LÓGICOS PROGRAMÁVEIS - DLP. 18/04/2016 Prof. Alexandre - ELP1DLP1 / DEE

DISPOSITIVOS LÓGICOS PROGRAMÁVEIS - DLP. 18/04/2016 Prof. Alexandre - ELP1DLP1 / DEE DISPOSITIVOS LÓGICOS PROGRAMÁVEIS - DLP 1 Objetivos da Aula: Introdução à Linguagem VHDL Plano de Ensino Conteúdo Programático 3. Ferramentas de Desenvolvimento 3.4. Editor de texto (programação VHDL ou

Leia mais

VHDL é uma linguagem bastante complexa!

VHDL é uma linguagem bastante complexa! arquitetura de computadores UTFPR DAELN Engenharia de Computação prof. Juliano µprocessador 1 Tutorial de Introdução ao VHDL Uma HDL é uma Hardware Definition Language, ou seja, não é uma linguagem de

Leia mais

DEPARTAMENTO DE ENGENHARIA ELECTROTÉCNICA E DE COMPUTADORES INSTITUTO SUPERIOR TÉCNICO UNIVERSIDADE TÉCNICA DE LISBOA SISTEMAS DIGITAIS

DEPARTAMENTO DE ENGENHARIA ELECTROTÉCNICA E DE COMPUTADORES INSTITUTO SUPERIOR TÉCNICO UNIVERSIDADE TÉCNICA DE LISBOA SISTEMAS DIGITAIS DEPARTAMENTO DE ENGENHARIA ELECTROTÉCNICA E DE COMPUTADORES INSTITUTO SUPERIOR TÉCNICO UNIVERSIDADE TÉCNICA DE LISBOA SISTEMAS DIGITAIS INTRODUÇÃO AO AMBIENTE DE PROJECTO DA XILINX ANTÓNIO GRILO, HORÁCIO

Leia mais

FEDERAL UNIVERSITY OF RIO GRANDE DO SUL

FEDERAL UNIVERSITY OF RIO GRANDE DO SUL Tutorial ModelSim Workshop IEEE Circuits and Systems Society UFRGS Student Branch Bruno Zatt UFRGS - Porto Alegre BRAZIL Mentor Graphics ModelSim ModelSim é, atualmente, a ferramenta de simulação mais

Leia mais

VHDL Circuitos Combinacionais

VHDL Circuitos Combinacionais VHDL Circuitos Combinacionais Neste módulo será feita uma revisão de circuitos combinacionais introduzindose alternativas representações em VHDL Uma descrição VHDL, de forma geral, pode seguir uma das

Leia mais

ORGANIZAÇÃO E ARQUITETURA DE COMPUTADORES I. Introdução ao VHDL. César A. M. Marcon

ORGANIZAÇÃO E ARQUITETURA DE COMPUTADORES I. Introdução ao VHDL. César A. M. Marcon ORGANIZAÇÃO E ARQUITETURA DE COMPUTADORES I Introdução ao VHDL César A. M. Marcon VHDL Uma Linguagem de Descrição de Hardware 2 Originou como linguagem para descrever hardware, no contexto do programa

Leia mais

Prática 2 Implementação de Circuitos Lógicos em FPGA

Prática 2 Implementação de Circuitos Lógicos em FPGA Nome: Professor: Turma: Data: Prática 2 Implementação de Circuitos Lógicos em FPGA O objetivo desta prática é apresentar a criação do arquivo de projeto, do arquivo de estímulos e a simulação de circuitos

Leia mais

PRÁTICAS PARA DESENVOLVIMENTO DE PROTÓTIPOS DE CIRCUITOS DIGITAIS COM O KIT EDUCACIONAL DE2

PRÁTICAS PARA DESENVOLVIMENTO DE PROTÓTIPOS DE CIRCUITOS DIGITAIS COM O KIT EDUCACIONAL DE2 UNIVERSIDADE FEDERAL RURAL DO SEMI-ÁRIDO PRÁTICAS PARA DESENVOLVIMENTO DE PROTÓTIPOS DE CIRCUITOS DIGITAIS COM O KIT EDUCACIONAL DE2 P R O F. S Í L V I O F E R N A N D E S CRONOGRAMA DO CURSO ATIVIDADE

Leia mais

Tutorial: Configuração do CLP Citrino

Tutorial: Configuração do CLP Citrino Tutorial: Configuração do CLP Citrino Abrir o software Citrino Tools Clicar em: Criar projeto, a tela abaixo é a tela de configuração do CLP. Cada aba refere-se a um tipo de configuração. Clicar em: Arquivo

Leia mais

DIGITAR AQUI OS COMANDOS

DIGITAR AQUI OS COMANDOS 1. Configuração do ambiente de trabalho Tutorial Modelsim para Simulação VHDL Trabalho a ser realizado em duplas Alexandre Amory - Fernando Moraes - 05/out/2018 O simulador Modelsim está instalado no LINUX.

Leia mais

12/11/13. Obje%vos do laboratório. SST20707 Síntese de Sistemas de Telecomunicações. Síntese de máquinas de estado (FSM) Finite State Machine (FSM)

12/11/13. Obje%vos do laboratório. SST20707 Síntese de Sistemas de Telecomunicações. Síntese de máquinas de estado (FSM) Finite State Machine (FSM) Instituto Federal de Santa Catarina Área de Telecomunicações SST20707 Síntese de Sistemas de Telecomunicações Prof. Roberto de Matos Aviso de direitos Autorais: Transparências baseadas no trabalho do Prof.

Leia mais

Introdução à Computação

Introdução à Computação Universidade Federal de Campina Grande Departamento de Sistemas e Computação Introdução à Computação Conceitos Básicos de Eletrônica Digital (Parte IV) Prof. a Joseana Macêdo Fechine Régis de Araújo joseana@computacao.ufcg.edu.br

Leia mais

Exercícios de Fixação

Exercícios de Fixação Exercícios de Fixação Para entregar em 07/02/2013 Exercício I Implemente o circuito de seis portas lógicas abaixo em VHDL; Crie um projeto com o simulador Modelsim que contenha o par entidade-arquitetura

Leia mais

Instalando o Eclipse e o Android

Instalando o Eclipse e o Android Instalando o Eclipse e o Android Para a elaboração desse material, eu fiz o uso do Eclipse Galileo (Eclipse 3.5.1 para Windows) e o SDK do Android Revisão 5 e o plugin do Android para o Eclipse ADT-0.9.6.

Leia mais

Eletrônica de Potência I Prof. André Fortunato rev. 1-11/2011

Eletrônica de Potência I Prof. André Fortunato rev. 1-11/2011 Nome: Realizado em: / / Nome: Entregue em: / / Nome: EXPERIÊNCIA 3 Nome: NOTA: Recorte este cabeçalho e anexe ao seu relatório. Experiência 3 Retificador de Onda Completa Objetivo Nesta experiência ver

Leia mais

MSP430 Lab 02 Criar e Compilar um projeto

MSP430 Lab 02 Criar e Compilar um projeto MSP430 Lab 02 Criar e Compilar um projeto Software CCS Neste post iremos criar um novo projeto utilizando a IDE CCS da Texas Instruments, compilar o código feito em linguagem C e fazer o Debug da aplicação

Leia mais

GUIA DE IMPLEMENTAÇÃO DE CIRCUITOS NA PLACA DE DESENVOLVIMENTO:

GUIA DE IMPLEMENTAÇÃO DE CIRCUITOS NA PLACA DE DESENVOLVIMENTO: GUIA DE IMPLEMENTAÇÃO DE CIRCUITOS NA PLACA DE DESENVOLVIMENTO: DIGILENT BASYS 3 E VIVADO WEBPACK 2016. VERSÃO 2.3 - SISTEMAS DIGITAIS - Wilson José Aleksandar Ilic Horácio Neto Nuno Roma Na segunda metade

Leia mais

Projeto com Linguagens de Descrição de Hardware

Projeto com Linguagens de Descrição de Hardware Projeto com Linguagens de Descrição de Hardware Versão 2012 RESUMO Esta experiência consiste no projeto e implementação de um circuito digital simples com o uso de uma linguagem de descrição de hardware.

Leia mais

Fundamentos de Sistemas Digitais. Lógica Sequencial. Prof. Dr. Alexandre M. Amory Prof. Dr Edson I. Moreno

Fundamentos de Sistemas Digitais. Lógica Sequencial. Prof. Dr. Alexandre M. Amory Prof. Dr Edson I. Moreno Fundamentos de Sistemas Digitais Lógica Sequencial Prof. Dr. Alexandre M. Amory Prof. Dr Edson I. Moreno 2 Referências Sugiro estudarem nesta ordem de preferência: Floyd, Cap 7 até 7.4, 9, 10. Não tem

Leia mais

Ubiratam Carvalho de Paula Junior Rafaelli de Carvalho Coutinho

Ubiratam Carvalho de Paula Junior Rafaelli de Carvalho Coutinho Universidade Federal Fluminense Pólo Universitário de Rio das Ostras Faculdade Federal de Rio das Ostras Bacharelado em Ciência da Computação Ubiratam Carvalho de Paula Junior Rafaelli de Carvalho Coutinho

Leia mais

Projeto com Dispositivos Programáveis

Projeto com Dispositivos Programáveis Projeto com Dispositivos Programáveis E.T.M./2011 (revisão) RESUMO Nesta experiência será apresentada uma metodologia para projeto de sistemas digitais utilizando FPGAs (dispositivos programáveis) e HDLs

Leia mais

PASSO A PASSO COMO CRIAR UM NOVO PROJETO EM SCHEMATIC NO SOFTWARE QUARTUS II CYCLONE IV

PASSO A PASSO COMO CRIAR UM NOVO PROJETO EM SCHEMATIC NO SOFTWARE QUARTUS II CYCLONE IV PASSO A PASSO COMO CRIAR UM NOVO PROJETO EM SCHEMATIC NO SOFTWARE QUARTUS II CYCLONE IV 1) Após abrir o quartus II, clique em CREATE A NEW PROJECT (tela a seguir). 2) CLIQUE EM NEXT (tela a seguir) EMERSON

Leia mais

SIMULAÇÃO DE CIRCUITOS

SIMULAÇÃO DE CIRCUITOS SIMULAÇÃO DE CIRCUITOS Edson T. Midorikawa, Ricardo Caneloi dos Santos e Dante Tantalean / 2002 E.T.M./2003 (revisão) E.T.M./2004 (revisão) E.T.M./2005 (revisão) RESUMO Nesta experiência serão discutidos

Leia mais

Objetivo: Teste de Ponto e Teste de Busca da característica (zonas).

Objetivo: Teste de Ponto e Teste de Busca da característica (zonas). Tutorial de Teste Tipo de Equipamento: Relé de Proteção Marca: Siemens Modelo: 7SA Função: 21- Distância (Impedância) Ferramenta Utilizada: CE-6006 Objetivo: Teste de Ponto e Teste de Busca da característica

Leia mais

TIPO INTEGER. Profa. Luiza Maria Romeiro Codá 2

TIPO INTEGER. Profa. Luiza Maria Romeiro Codá 2 TIPO INTEGER 2 LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; ENTITY FF3_tipoD_nivel IS PORT(CLK, RST, SET : IN STD_LOGIC; D : IN STD_LOGIC_VECTOR(2 DOWNTO 0); Q : OUT STD_LOGIC_VECTOR(2 DOWNTO 0)); END FF3_tipoD_nivel;

Leia mais

Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação

Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação Aula 4-P Prototipagem com a placa de desenvolvimento DE2

Leia mais

ROTEIRO 1 INTRODUÇÃO AO QUARTUS II

ROTEIRO 1 INTRODUÇÃO AO QUARTUS II ROTEIRO 1 INTRODUÇÃO AO QUARTUS II Prof. Dr. Amauri Amorin Assef *Departamento Acadêmico de Eletrotécnica/DAELT - UTFPR, Curitiba amauriassef@utfpr.edu.br 1. Introdução Cada circuito lógico projetado com

Leia mais

1 Práticas de Laboratório Construindo um Circuito TTL (Transistor-Transistor Logic) Introdução a ferramenta EDA Quartus II

1 Práticas de Laboratório Construindo um Circuito TTL (Transistor-Transistor Logic) Introdução a ferramenta EDA Quartus II Índice 1 Práticas de Laboratório 7 1.1 Construindo um Circuito TTL (Transistor-Transistor Logic)................. 8 1.2 Introdução a ferramenta EDA Quartus II 9.1......................... 12 1 2 ÍNDICE

Leia mais

Descreva em VHDL, simule no simulador logico e sintetize usando uma ferramenta de CAD para FPGA :

Descreva em VHDL, simule no simulador logico e sintetize usando uma ferramenta de CAD para FPGA : UNIVERSIDADE FEDERAL DO RIO GRANDE DO SUL INSTITUTO DE INFORMATICA LISTA DE EXERCÍCIOS DE SISTEMAS DIGITAIS Prof. Fernanda Gusmão de Lima Kastensmidt E Marcelo Porto (aluno mestrado PPGC) Descreva em VHDL,

Leia mais

IDES E PROGRAMAÇÃO. Prof. Dr. Cláudio Fabiano Motta Toledo PAE: Maurício A Dias

IDES E PROGRAMAÇÃO. Prof. Dr. Cláudio Fabiano Motta Toledo PAE: Maurício A Dias 1 IDES E PROGRAMAÇÃO Prof. Dr. Cláudio Fabiano Motta Toledo PAE: Maurício A Dias {claudio,macdias}@icmc.usp.br 2 Agenda Conceitos Instalação e Configuração Compilação e Execução de Código IDEs Exemplo

Leia mais

TUTORIAL DE INSTALAÇÃO E USO DO OWL-S COMPOSER 3.0 utilizando o Eclipse Galileo Modelling Tools

TUTORIAL DE INSTALAÇÃO E USO DO OWL-S COMPOSER 3.0 utilizando o Eclipse Galileo Modelling Tools TUTORIAL DE INSTALAÇÃO E USO DO OWL-S COMPOSER 3.0 utilizando o Eclipse Galileo Modelling Tools Desenvolvido por: Manuele Ferreira, Fabrício Alves e Daniela Claro Requisitos do ambiente Seguem abaixo os

Leia mais

low): Descreve o que o sistema deve fazer utilizando expressões lógicas.

low): Descreve o que o sistema deve fazer utilizando expressões lógicas. Descrição por fluxo de dados (Data Data-Flow low): Descreve o que o sistema deve fazer utilizando expressões lógicas. Descrição estrutural: Descreve como é o hardware em termos de interconexão de componentes.

Leia mais

Projecto de Sistemas Digitais. Trabalho Prático 1

Projecto de Sistemas Digitais. Trabalho Prático 1 Licenciatura em Engenharia Electrotécnica e de Computadores 2004/05 1 o semestre Projecto de Sistemas Digitais Trabalho Prático 1 Modelação, síntese e implementação de circuitos sequenciais síncronos Objectivos

Leia mais

Tutorial de Utilização do Software- Mini CLP FIT

Tutorial de Utilização do Software- Mini CLP FIT Tutorial de Utilização do Software- Mini CLP FIT tsfit-06 SIMULANDO UM PROGRAMA INTRODUÇÃO Este documento descreve a utilização do Mini Controlador FIT e seus recursos de software e hardware, com o intuito

Leia mais

Projeto de CI Semidedicados

Projeto de CI Semidedicados Projeto de CI Semidedicados VHDL- Prática Especial (novembro/ 2012) Turma TECSUP São objetivos desta segunda prática de VHDL: Métodos estrutural de implementação da arquitetura VHDL; Hierarquia na descrição

Leia mais

4.a Aula Prática Projeto de um Somador de 16 bits com a Linguagem VHDL

4.a Aula Prática Projeto de um Somador de 16 bits com a Linguagem VHDL 4.a Aula Prática Projeto de um Somador de 16 bits com a Linguagem VHDL Prof. Cesar da Costa VHDL é uma linguagem para descrever sistemas digitais utilizada universalmente. VHDL é proveniente de VHSIC Hardware

Leia mais

TUTORIAL DE INSTALAÇÃO E USO DO OWL-S COMPOSER utilizando o Eclipse Galileo Modelling Tools

TUTORIAL DE INSTALAÇÃO E USO DO OWL-S COMPOSER utilizando o Eclipse Galileo Modelling Tools TUTORIAL DE INSTALAÇÃO E USO DO OWL-S COMPOSER utilizando o Eclipse Galileo Modelling Tools Desenvolvido por: Manuele Ferreira e Daniela Claro Requisitos do ambiente Seguem abaixo os requisitos do ambiente.

Leia mais