Memórias: ROM, RAM, DRAM

Tamanho: px
Começar a partir da página:

Download "Memórias: ROM, RAM, DRAM"

Transcrição

1 Memórias: ROM, RAM, DRAM 1 Classificação de Memórias Semicondutoras Volatilidade Volátil e Não Volátil Acesso Leitura e Escrita ou Somente Leitura Tipo RAM Random Access Memory (static) DRAM Dynamic RAM ROM Read Only Memory PROM User-Programmable ROM EPROM Erasable PROM E 2 PROM Electrically EPROM FLASH Flash E 2 PROM 2 1

2 Classificação de Memórias Semicondutoras Tecnologia Bipolar Transistores Bipolares (npn, pnp) CMOS Complementary Metal Oxide Silicon Estrutura de Acesso Aleatório Disciplinado (pilha, fila, etc) Endereçamento bit, byte, bloco 3 Características Importantes Capacidade: mega bits, bytes Ex: 1024 bits Organização: M palavras de N bits Ex: 1024x1, 256x4 bits Tempo de Acesso: nano segs Consumo/Dissipação: m amp Densidade de Integração: área do chip, # gates Capacidade Velocidade Consumo Densidade Bipolar baixa alta alto baixa CMOS alta média/alta baixo alta 4 2

3 Estrutura Básica de Chips de Memória Sinais de Endereço Memória Dados Sinais de Controle 5 ROM - Read Only Memory Pré-gravadas: modo permanente ou semi-permanente Não volátil n sinais de endereço; 2 n palavras de b bits 6 3

4 Porque memória ROM? Armazenamento de Programas Boot ROM de computadores pessoais Programas residentes em equipamentos, jogos, etc. ROM é um circuito combinacional: truth-lookup table pode executar qualquer função combinacional lógica Endereço = entradas da função Saídas = saídas da função 7 Estrutura Interna da ROM ROM = decodificador + codificador A 0 endereço 2n dados b bits A n-1 Decodificador Codificador 8 4

5 ROM implementando: Decodificador 2-to-4 c/ controle de polaridade da saída decodificador codificador 9 Multiplicador 4x4 10 5

6 Estrutura interna da ROM PDP-11 boot ROM (64 words, 1024 diodes) 11 Decodificação em duas dimensões 12 6

7 32Kx8 ROM 13 ROMs modernas 256K bytes, 1M byte, ou maior Usa transistores MOS como chaves 14 7

8 Tipos de ROM ROM Read Only Memory programada na fábrica através da metalização nos pontos de interconexão dos diodos PROM User-Programmable ROM programada pelo usuário (alta corrente) através da queima de fusível (desliga diodo); não permite alterações EPROM Erasable PROM programada eletronicamente; exposição a luz ultra violeta apaga o conteúdo E 2 PROM Electrically EPROM apagada e escrita eletronicamente, byte a byte FLASH Flash E 2 PROM apagada eletronicamente todo o conteúdo de uma vez 15 Comparação entre ROMs comerciais Table 10-5 Commercial ROM types. Type Technology Read cycle Write cycle Comments Mask ROM NMOS, CMOS ns 4 weeks Write once; low power Mask ROM Bipolar < 100 ns 4 weeks Write once; high power; low density PROM Bipolar < 100 ns µs/byte Write once; high power; no mask charge EPROM NMOS, CMOS ns µs/byte Reusable; low power; no mask charge EEPROM NMOS ns µs/byte 10, ,000 writes/location limit 16 8

9 EPROM possui floating gate MOS transistor em cada bit; floating gate é não conectado e está cercado de material de altíssima impedância; alta voltagem aplicada aos bits que devem ser 0, rompe isolante e armazena carga negativa no floating gate; carga negativa previne que o transistor MOS conduza nas operações de leitura; carga pode permanecer por 10 anos ou removida por minutos de luz ultra violeta (bit=1); chip possui janela de vidro para exposição à luz; 17 Estrutura da EPROM floating gate é não conectado e está cercado de material de altíssima impedância carga negativa previne que o transistor MOS conduza nas operações de leitura 18 9

10 EPROMs Comerciais - Chips 28 pinos 19 EEPROMs, Flash PROMs Semelhante a EPROM porém, bits podem ser apagados eletronicamente: VPP = 12 V Floating-gate MOS transistors possuem camada fina de isolante que permite eliminar a carga através de tensão de polaridade oposta; Pode ser reprogramada vezes; Escrita demora muita mais que leitura: milliseconds vs. 10 s of nanosegundos; Apagar Byte-byte Chip inteiro ( flash ) FLASH PROM pode ser apagada de uma só vez, aproximando-se de um RAM não volátil

11 ROM: Sinais de Control e E/S Chip Select Output Enable 21 EPROM em Microprocessadores 128Kx8 localizada no extremo A19=A18=A17=

12 Espaço de Endereçamento Corresponde à capacidade de endereçamento (direto ou indireto) de um processador. Representado pelos sinais de endereço: Ai An-1... A19 A18 A17 A16 A15 A14 A13 A12 A11 A10 A9... A K K K K K K K K K K K M 1 2 n-1 23 Operação da EPROM CE_L OE_L/VPP VCC OUTPUT Read 0 0 5v Dout Output Disable 0 1 5v Z Standby (35 ma) 1 X 5v Z Program 0 VPP 5v Din 24 12

13 ROM - Diagramas de Tempo Leitura taa - access time from address tacs - access time from chip select toe - output-enable time toz - output-disable time toh - output-hold time 25 Definição do Tempos taa - access time from address delay entre endereços estáveis e saídas válidas tacs - access time from chip select delay entre CS e saídas válidas toe - output-enable time delay entre OE e CS ativados, até 3-states de saída sairem de alta impedância toz - output-disable time delay entre OE e CS desativados, até 3-states de saída entrarem em alta impedância toh - output-hold time delay no qual as saídas continuam válidas após mudar endereço ou após desativar CS e OE 26 13

14 Projeto Projete um circuito para a geração e visualização em matrizes 8x8 LEDs dos caracteres de A a D, utilizando ROM (32x8). Neste esquema cada caracter é representado por n posições consecutivas da ROM: bit=1 significa pixel aceso. O circuito deve exibir a cada instante um caracter indicado pelo seu código. As saídas da ROM devem acionar uma linha da matriz de LEDs a cada ciclo de varredura. Sugestão: código = end. alto; contador(8)= end. baixo 27 RAM-Memória de Leitura/Escrita RAM (Random Access Memory) Volatilidade RAMs perdem seu conteúdo quando alimentação é removida NVRAM = RAM + bateria SRAM (Static RAM) Memória comporta-se como latches ou flip-flops DRAM (Dynamic Memory) Conteúdo da memória mantem-se por apenas alguns milisegundos É preciso refrescar posições através de leitura ou escrita 28 14

15 SRAM Chip Select Output Enable Write Enable (read/write_l) 29 Operação da SRAM Células de bits são latches tipo D, não flip-flops edge-triggered tipo D. poucos transistores por célula. Implicações para operações de escrita: Endereços devem estar estáveis antes de escrever. Dado deve estar estável antes do final da escrita

16 SRAM: Estrutura Interna 31 SRAM: Linhas de controle Chip select Output enable Write enable 32 16

17 SRAM - Leitura Similar a ROM taa - access time from address tacs - access time from chip select toe - output-enable time toz - output-disable time toh - output-hold time 33 SRAM - Escrita tas - address setup time before write tah - address hold time after write tcsw - chip select setup before end of write twp - write pulse width tds - data setup time before end of write tdh - data hold time after end of write Endereço deve estar estável antes e depois do acionamento de writeenable. Dado é armazenado na subida de (WE & CS)

18 Definição do Tempos tas - address setup time before write endereço deve estar estável antes de CS e WE senão posições imprevisíveis podem ser alteradas tah - address hold time after write tcsw - chip select setup before end of write twp - write pulse width tempo durante o qual WE deve estar acionado para o armazenamento confiável do dado na célula tds - data setup time before end of write tdh - data hold time after end of write 35 Dados bidirecionais Usa os mesmos sinais para leitura e escritas mais comum em RAM de vários bits compatível para uso com barramentos bidirecionais de microprocessadores 36 18

19 Chips SRAM Similar aos chips ROM 8kx8 32kx8, 28-pin DIPs 128kx8 512kx8 32-pin DIPs x 4 bit Static RAM 38 19

20 Diagrama de Tempo 39 Diagrama de Tempo 40 20

21 Exemplo Projete um banco de memória estática (chips + decodificação de endereço) contendo 16K x 8 bits, usando os chips: 1o. caso: 2114 (1024 x 4 bits) 2o. caso: 2147 (4096 x 1 bit) Capacidade total da placa = 128K bits Número de chips necessários: 1o. Caso = 32 2o. Caso = Solução 1o. Caso (16K 16) R/W U1 RAM1K A9 CS A8 WE A7 IO7 A6 IO6 A5 IO5 A4 IO4 A3 IO3 A2 IO2 A1 IO1 A0 IO0 U2 RAM1K A9 CS A8 WE A7 IO7 A6 IO6 A5 IO5 A4 IO4 A3 IO3 A2 IO2 A1 IO1 A0 IO0 A12 A13 A11 A10 U7 74LS E1 10 E0 98 A3 A2 A1 A U3 RAM1K A9 CS A8 WE A7 IO7 A6 IO6 A5 IO5 A4 IO4 A3 IO3 A2 IO2 A1 IO1 A0 IO0 U5 RAM1K U4 RAM1K A9 CS A8 WE A7 IO7 A6 IO6 A5 IO5 A4 IO4 A3 IO3 A2 IO2 A1 IO1 A0 IO0 U6 RAM1K A9 A8 A7 A6 A5 A4 A3 A2 A1 A0 CS WE IO7 IO6 IO5 IO4 IO3 IO2 IO1 IO0 A9 A8 A7 A6 A5 A4 A3 A2 A1 A0 CS WE IO7 IO6 IO5 IO4 IO3 IO2 IO1 IO

22 Projeto Projete uma placa de memória RAM estática de 32K x 9bits - 8 bits de dados e 1 bit de paridade, usando os CIs 2114 e DRAM (Dynamic RAMs) SRAMs tipicamente usam 6 transistores por célula de um bit. DRAMs usam apenas um transistor por bit: mais memória por área de silício conteúdo 1/0 equivale à carga/descarga do capacitor do gate capacitor 44 22

23 DRAM - Operação S1 S2 Operação S1 S2 S3 Write close open open Read close close close Refresh close close close Cgate Rfuga Ampl S3 Comparador + Vref - IN Circuito comum a cada coluna da matriz OUT 45 DRAM - Leitura Pré-carga de bit line até V DD /2. Faz word_line = HIGH. Detecta se há passagem de corrente de/para a célula. Conteúdo da célula é destruído na leitura. Bit deve ser re-escrito de volta

24 DRAM - Escrita Faz word line = HIGH. Faz bit line = LOW ou HIGH para armazenar 0 ou 1. Faz word line = LOW. A carga armazenada para nível 1 vai eventualmente vazar. 47 DRAM - Fuga de Carga DRAM típica requer que cada célula seja refrescada uma vez a cada 4 a 64 ms

25 RAS/CAS Row Address Strobe, Column Address Strobe n sinais de endereço são fornecidos ao chip de DRAM em 2 passos, usando n/2 pinos apenas: 1o. Passo: endereço de linha na descida de RAS_L 2o. Passo: endereço de coluna na descida de CAS_L Método tradicional de operação de DRAM por 20 anos. CAS faz papel de chip select 49 DRAM-Organização Interna 64K x 1 DRAM Refresh das células da mesma linha C C C C C Circuito das colunas 50 25

26 Refresh Células são organizadas em vetores: seleção de uma linha, efetua o refresh de todas os bits da linha Exemplo: Kx1bit DRAM 128 linhas x 128 colunas Trefresh = 2 ms; Taccess = 500 ns requer 128 ciclos de refresh de duração de 500 ns 1 ciclo de refresh a cada 2/128 = 15,6 µs durante refresh processador fica em WAIT t 1o. ciclo 2o. ciclo t + Tref 15,6 µs 15,6 µs 51 DRAM - Leitura 52 26

27 DRAM - refresh 53 DRAM - Escrita 54 27

28 Símbolos Lógicos de DRAMs 64K 1 256K 1 64K 4 1M 1 256K A0 7 A1 6 A2 12 A3 11 A4 10 A5 13 A6 9 A7 2 DIN 4 RAS 15 CAS 3 WE DOUT 14 5 A0 7 A1 6 A2 12 A3 11 A4 10 A5 13 A6 9 A7 1 A8 2 DIN 4 RAS 15 CAS 3 WE DOUT A0 13 A1 12 A2 11 A3 8 A4 7 A5 6 A6 10 A RAS CAS WE OE DIO1 DIO2 DIO3 DIO A0 6 A1 7 A2 8 A3 10 A4 11 A5 12 A6 13 A7 14 A8 15 A9 1 DIN 3 RAS 16 CAS 2 WE DOUT A0 A1 A2 A3 A4 A5 A6 A7 A8 RAS CAS WE OE DIO1 DIO2 DIO3 DIO Copyright 2000 by Prentice Hall, Inc. Digital Design Principles and Practices, 3/e 55 Controle de Refresh Circuito que acessa memória exclusivamente para garantir o refresh de todas as posições dentro do limite de tempo (Tref). Componentes: contador de endereços de refresh: #linhas gerador dos pedidos de refresh (acesso à memória): período = Tref/#linhas gerador de RAS multiplex de sinais de endereços: n/2 mux 2-to-1 árbitro para controle do acesso à memória: micro ou refresh; micro entra em WAIT se ciclo de refresh 56 28

29 Controle de Refresh Micro endereço de coluna endereço de linha Contador de Refresh memory request Mux endereço de refresh memory/refresh CAS Árbitro inicia ciclo Mux RAS CAS WE Gerador Ai DRAM data RAS CAS WE Gerador de refresh request wait/ready refresh request fim de ciclo 57 Outros tipos de Ciclos CAS-before-RAS se CAS é acionado antes de RAS, chip refresca linha selecionada por um contador interno e incrementa contador; simplifica projeto; elimina contador de refresh externo. read-modify-write leitura seguida de escrita da mesma posição page-mode-read permite que uma linha inteira (page) seja lida mantendose RAS low e pulsando-se CAS; acesso mais rápido a dados que estão próximos page-mode-write escrita, similar a page-mode-read 58 29

30 Outros tipos de Ciclos static-column-mode read similar a page mode; end. de coluna não é armazenado na DRAM; assim, outro bit da mesma coluna pode ser lido, mudando-se o end. de coluna sem pulsar CAS. static-column-mode write escrita, similar a static-column-mode read; é necessário negar CAS ou WE na mudança de endereço. nibble-mode read similar a page-mode; o chip de DRAM gera uma sequência de endereços a partir do endereço fornecido no início do ciclo RAS-CAS; a sequência é repetida após 4 pulsos de CAS. nibble-mode write idem, write 59 Outros Tipos de DRAM EDRAM: Enhanced DRAM CDRAM: cache-dram SDRAM: Synchronous DRAM RDRAM: Rambus DRAM 60 30

PUC-Rio. Memórias: ROM, RAM, DRAM

PUC-Rio. Memórias: ROM, RAM, DRAM Memórias: ROM, RAM, DRAM 1 Classificação de Memórias Volatilidade Volátil e Não Volátil Acesso Semicondutoras Leitura e Escrita ou Somente Leitura Tipos RAM Random Access Memory (static) DRAM Dynamic RAM

Leia mais

ELE Microprocessadores I. AULA 12 Arquitetura do Microprocessador Interface com as memórias

ELE Microprocessadores I. AULA 12 Arquitetura do Microprocessador Interface com as memórias ELE 1078 - Microprocessadores I AULA 12 Arquitetura do Microprocessador 8085 -Interface com as memórias 12.1 - Estrutura das Memórias Memória de Leitura / Escrita (R / W memory). Grupo de registradores;

Leia mais

Eletrônica Digital. Memórias Semicondutoras PROF. EDUARDO G. BERTOGNA UTFPR / DAELN

Eletrônica Digital. Memórias Semicondutoras PROF. EDUARDO G. BERTOGNA UTFPR / DAELN Eletrônica Digital Memórias Semicondutoras PROF. EDUARDO G. BERTOGNA UTFPR / DAELN Memórias Semicondutoras Os circuitos de memória estão presentes em sistemas computacionais como element de armazenamento

Leia mais

MEMÓRIAS. Sistemas Digitais II Prof. Marcelo Wendling Nov/18

MEMÓRIAS. Sistemas Digitais II Prof. Marcelo Wendling Nov/18 MEMÓRIAS Sistemas Digitais II Prof. Marcelo Wendling Nov/18 1 Definições São blocos que armazenam informações codificadas digitalmente. A localização de uma unidade de dado num arranjo de memória é denominada

Leia mais

Memórias RAM e ROM. Adriano J. Holanda 9/5/2017. [Introdução à Organização de Computadores]

Memórias RAM e ROM. Adriano J. Holanda 9/5/2017. [Introdução à Organização de Computadores] Memórias RAM e ROM [Introdução à Organização de Computadores] Adriano J Holanda 9/5/2017 Memória de acesso aleatório RAM Random Access Memory Armazenamento temporário de programas em execução e dados;

Leia mais

Introdução. Num micro-processador a informação é guardada em registos. Para isso precisamos de muitos registos, isto é memória em massa

Introdução. Num micro-processador a informação é guardada em registos. Para isso precisamos de muitos registos, isto é memória em massa 10 Memória v02 Introdução Num micro-processador a informação é guardada em registos Estes são definidos com grupos de FFs tipo D Além da informação precisamos de guardar também as instruções do próprio

Leia mais

SRAM Static RAM. E/L Escrita Leitura. FPM DRAM Fast Page Mode EDO DRAM Extended Data Output SDRAM Synchronous DDR SDRAM Double Data Rate RDRAM Rambus

SRAM Static RAM. E/L Escrita Leitura. FPM DRAM Fast Page Mode EDO DRAM Extended Data Output SDRAM Synchronous DDR SDRAM Double Data Rate RDRAM Rambus TIPOS DE MEMÓRIA RAM Random Access Memory E/L Escrita Leitura SRAM Static RAM DRAM Dynamic RAM FPM DRAM Fast Page Mode EDO DRAM Extended Data Output SDRAM Synchronous DDR SDRAM Double Data Rate RDRAM Rambus

Leia mais

PCS 3115 Sistemas Digitais I

PCS 3115 Sistemas Digitais I PCS 35 Sistemas Digitais I Módulo 7 Introdução às Memórias Edison versão:. (maio de 28). Conceituação Dispositivos que armazenam dados em grandes quantidades. Flip-Flop s e registradores também têm esta

Leia mais

ELETRÔNICA DIGITAL II

ELETRÔNICA DIGITAL II ELETRÔNICA DIGITAL II Parte 7 Armazenamento e Memória Digital Professor Dr. Michael Klug 1 Definição Dispositivo capaz de armazenar informação Capacitor (transistor+capacitor), flip-flop, registradores

Leia mais

Memórias Semicondutoras

Memórias Semicondutoras Memórias Semicondutoras Walter Fetter Lages w.fetter@ieee.org Universidade Federal do Rio Grande do Sul Escola de Engenharia Departamento de Engenharia Elétrica Copyright (c) Walter Fetter Lages p.1 Introdução

Leia mais

Adriano J. Holanda FAFRAM. 4 e 11 de maio de 2012

Adriano J. Holanda FAFRAM. 4 e 11 de maio de 2012 Memória Adriano J. Holanda FAFRAM 4 e 11 de maio de 2012 Trilha Introdução Hierarquia da memória Memórias RAM Memória ROM Introdução Arquitetura de Von Neumann Memória Aritmética Controle E/S Unidade central

Leia mais

Universidade Federal do ABC

Universidade Federal do ABC Universidade Federal do ABC Eletrônica Digital Aula 13: Dispositivos de Memória TOCCI, Sistemas Digitais, Sec. 12.1 12.9 http://sites.google.com/site/eletdigi/ Dispositivos de Memória Memórias conhecidas

Leia mais

Memórias. SEL-415 Introdução à Organização dos Computadores. Parte 1. Aula 4. Profa. Luiza Maria Romeiro Codá

Memórias. SEL-415 Introdução à Organização dos Computadores. Parte 1. Aula 4. Profa. Luiza Maria Romeiro Codá Departamento de Engenharia Elétrica e de Computação EESC-USP SEL-415 Introdução à Organização dos Computadores Aula 4 Memórias Parte 1 Profa. Luiza Maria Romeiro Codá Autores: Prof. Dr. Marcelo Andrade

Leia mais

Memórias. Memórias: Utilização:

Memórias. Memórias: Utilização: 1 : São dispositivos que armazenam informações codificadas digitalmente que podem representar números, letras, caracteres quaisquer, comandos de operações, endereços ou ainda qualquer outro tipo de dado.

Leia mais

SSC512 Elementos de Lógica Digital. Memórias. GE4 Bio

SSC512 Elementos de Lógica Digital. Memórias. GE4 Bio Universidade de São Paulo Instituto de Ciências Matemáticas e de Computação Departamento de Sistemas de Computação Elementos de Memórias GE4 Bio GE4Bio Grupo de Estudos em Sinais Biológicos Prof.Dr. Danilo

Leia mais

Universidade de São Paulo

Universidade de São Paulo Universidade de São Paulo Organização de Computadores Dr. Jorge Luiz e Silva Cap 2 Memória Secundária Memória Principal Memória Secundária - Armazenam informações que precisam ser transferidas para a Memória

Leia mais

Arquitetura de Computadores Memória Principal

Arquitetura de Computadores Memória Principal Arquitetura de Computadores Memória Principal Memória Principal A Memória Principal é a memória que, na sua concepção original, guardaria tanto os programas em execução quanto os dados utilizados por estes

Leia mais

ELECTRÓNICA DE COMPUTADORES. Sumário

ELECTRÓNICA DE COMPUTADORES. Sumário ELTRÓNICA DE COMPUTADORES Aulas nº10 e11 Circuitos e organização de memórias 10.1 Sumário Hierarquia de memória Tipo de memórias: voláteis/não voláteis leitura ou leitura/escrita Circuitos e tecnologia

Leia mais

Eletrônica Digital. Prof. Gilson Yukio Sato sato[at]utfpr[dot]edu[dot]br

Eletrônica Digital. Prof. Gilson Yukio Sato sato[at]utfpr[dot]edu[dot]br Eletrônica Digital Prof. Gilson Yukio Sato sato[at]utfpr[dot]edu[dot]br Memórias Semicondutoras Prof. Gilson Yukio Sato sato[at]utfpr[dot]edu[dot]br Memórias Digitais Magnética Fitas K7, discos 3½, etc

Leia mais

Circuitos Lógicos Aula 26

Circuitos Lógicos Aula 26 Circuitos Lógicos Aula 26 Aula passada Mais adição Circuito com maior largura Subtração Mais ULA Aula de hoje Memória Funcionamento e arquitetura ROM, RAM e variações Processador Intel Memória Memória:

Leia mais

ORGANIZAÇÃO E ARQUITETURA DE COMPUTADORES I AULA 10: MEMÓRIA E HIERARQUIA DE MEMÓRIAS

ORGANIZAÇÃO E ARQUITETURA DE COMPUTADORES I AULA 10: MEMÓRIA E HIERARQUIA DE MEMÓRIAS ORGANIZAÇÃO E ARQUITETURA DE COMPUTADORES I AULA 10: MEMÓRIA E HIERARQUIA DE MEMÓRIAS Prof. Max Santana Rolemberg Farias max.santana@univasf.edu.br Colegiado de Engenharia de Computação MEMÓRIA SÃO TODOS

Leia mais

ROM

ROM Capítulo 3 Sumário 3.1 Memórias... 38 3.2 Estrutura geral e organização de uma memória... 38 3.3 Tipos Básicos - ROM (Read Only Memory)... 39 3.3.1 Ampliação da capacidade da ROM... 41 3.3.2 ROMs Programáveis...

Leia mais

CIRCUITOS DIGITAIS. Contadores com Registradores e Memórias. Prof. Denis Fantinato Prof. Rodrigo Moreira Bacurau

CIRCUITOS DIGITAIS. Contadores com Registradores e Memórias. Prof. Denis Fantinato Prof. Rodrigo Moreira Bacurau CIRCUITOS DIGITAIS Contadores com Registradores e Memórias Prof. Denis Fantinato Prof. Rodrigo Moreira Bacurau Slides baseados nas aulas do Prof. Rodrigo Moreira Bacurau O que será visto nesta aula Contadores

Leia mais

SSC0112 Organização de Computadores Digitais I

SSC0112 Organização de Computadores Digitais I SSC0112 Organização de Computadores Digitais I 17ª Aula Hierarquia de memória Profa. Sarita Mazzini Bruschi sarita@icmc.usp.br 1 Memória Memória Todo componente capaz de armazenar bits de informação Características

Leia mais

William Stallings Arquitetura e Organização de Computadores 8 a Edição. Capítulo 5 Memória interna

William Stallings Arquitetura e Organização de Computadores 8 a Edição. Capítulo 5 Memória interna William Stallings Arquitetura e Organização de Computadores 8 a Edição Capítulo 5 Memória interna Os textos nestas caixas foram adicionados pelo Prof. Joubert slide 1 Tipos de memória de semicondutor slide

Leia mais

Circuitos Lógicos. Profa. Grace S. Deaecto. Faculdade de Engenharia Mecânica / UNICAMP , Campinas, SP, Brasil.

Circuitos Lógicos. Profa. Grace S. Deaecto. Faculdade de Engenharia Mecânica / UNICAMP , Campinas, SP, Brasil. Circuitos Lógicos Profa. Grace S. Deaecto Faculdade de Engenharia Mecânica / UNICAMP 13083-860, Campinas, SP, Brasil. grace@fem.unicamp.br Segundo Semestre de 2013 Profa. Grace S. Deaecto ES572 DMC / FEM

Leia mais

Professor: Vlademir de Oliveira Disciplina: Microcontroladores e DSP. Memórias de Dados e de Programa

Professor: Vlademir de Oliveira Disciplina: Microcontroladores e DSP. Memórias de Dados e de Programa 4. Memórias de Dados e de Programa Professor: Vlademir de Oliveira Disciplina: Microcontroladores e DSP 4.1 Memórias Semicondutoras Algumas definições Célula: Dispositivo de armazenamento de 1 bit. Palavra:

Leia mais

Latch SR (Set/Reset)

Latch SR (Set/Reset) Memória Nível da Lógica Digital (Aula 8) Memória Nível Lógico A memória é usada para armazenar tanto instruções a serem executadas quanto os dados usados na execução de algumas dessas instruções Para se

Leia mais

Usadas para manter os dados e programas a serem acessados diretamente pelo microprocessador.

Usadas para manter os dados e programas a serem acessados diretamente pelo microprocessador. 1 Usadas para manter os dados e programas a serem acessados diretamente pelo microprocessador. Os bits são armazenados em células de memória implementadas em pastilha semicondutora. A identificação das

Leia mais

Fundamentos de hardware. David Déharbe DIMAp UFRN

Fundamentos de hardware. David Déharbe DIMAp UFRN Fundamentos de hardware David Déharbe DIMAp UFRN david@dimap.ufrn.br Plano da aula Motivação; Terminologia básica; Problemas de potência; Diagramas de temporização; Memórias; Sumário. Motivação Um projetista

Leia mais

MEMÓRIAS PAPEL FOTOGRAFIA FITA PERFURADA DISPOSITIVOS MAGNÉTICOS DISPOSITIVOS ÓPTICOS DISPOSTIVOS DE ESTADO SÓLIDO

MEMÓRIAS PAPEL FOTOGRAFIA FITA PERFURADA DISPOSITIVOS MAGNÉTICOS DISPOSITIVOS ÓPTICOS DISPOSTIVOS DE ESTADO SÓLIDO MEMÓRIAS MEIOS: PAPEL FOTOGRAFIA FITA PERFURADA DISPOSITIVOS MAGNÉTICOS DISPOSITIVOS ÓPTICOS DISPOSTIVOS DE ESTADO SÓLIDO TÉCNICAS: IMPRESSÃO CARGAS ELÉTRICAS MODIFICAÇÃO DE ÍNDICES ÓPTICOS DE SUPERFÍCIES

Leia mais

SSC0112 Organização de Computadores Digitais I

SSC0112 Organização de Computadores Digitais I SSC0112 Organização de Computadores Digitais I 23ª Aula Hierarquia de memória Profa. Sarita Mazzini Bruschi sarita@icmc.usp.br 1 Memória Memória Todo componente capaz de armazenar bits de informação Características

Leia mais

Geradores de Clock e Memórias

Geradores de Clock e Memórias Geradores de Clock e Memórias Prof. Ohara Kerusauskas Rayel Disciplina de Eletrônica Digital - ET75C Curitiba, PR 18 de junho de 2015 1 / 23 Geradores de Clock Multivibradores biestáveis: possuem 2 estados

Leia mais

MICROPROCESSADORES TIPOS DE MEMÓRIAS

MICROPROCESSADORES TIPOS DE MEMÓRIAS MICROPROCESSADORES TIPOS DE MEMÓRIAS Roteiro ROTEIRO Introdução; Tipos; RAM s; ROM s; Barramentos; Modo de Escrita; Modo de Leitura; INTRODUÇÃO Por que existem diversos tipos diferentes de memória? TIPOS

Leia mais

Eletrônica Digital II (Memórias) Prof. Eng. Antonio Carlos Lemos Júnior

Eletrônica Digital II (Memórias) Prof. Eng. Antonio Carlos Lemos Júnior Eletrônica Digital II (Memórias) Prof. Eng. Antonio Carlos Lemos Júnior Termos Básicos Célula de memória dispositivo ou circuito capaz de armazenar um bit. Ex.: um flip-flop, um capacitor, etc. Palavra

Leia mais

Organização e Arquitetura de Computadores I

Organização e Arquitetura de Computadores I Organização e Arquitetura de Computadores I Memória Interna Slide 1 Memória Em informática, memória são todos os dispositivos que permitem a um computador guardar dados, temporariamente ou permanentemente.

Leia mais

HARDWARE COMPONENTES BÁSICOS E FUNCIONAMENTO. Wagner de Oliveira

HARDWARE COMPONENTES BÁSICOS E FUNCIONAMENTO. Wagner de Oliveira HARDWARE COMPONENTES BÁSICOS E FUNCIONAMENTO Wagner de Oliveira SUMÁRIO Hardware Definição de Computador Computador Digital Componentes Básicos CPU Processador Memória Barramento Unidades de Entrada e

Leia mais

Circuitos Sequenciais

Circuitos Sequenciais 1 Circuitos Sequenciais Elementos de estado ou elementos de memória Exemplos de circuitos sequenciais: Latches Flip-flops Registradores Conjunto de registradores Memória Conjunto de Registradores Parte

Leia mais

Sistema de Memórias COMPUTADOR CONTROLE ENTRADA VIA DE DADOS SAÍDA PROCESSADOR MEMÓRIA S E TO R R EC RE CEI TA S T EM S E TO R C A RNE S

Sistema de Memórias COMPUTADOR CONTROLE ENTRADA VIA DE DADOS SAÍDA PROCESSADOR MEMÓRIA S E TO R R EC RE CEI TA S T EM S E TO R C A RNE S Sistema de Memórias COMPUTADOR S E TO R R EC EIT AS 0 16 32 RE CEI TA S 4 8 12 20 24 28 36 40 44 CONTROLE S E TO R T EM PER OS VIA DE DADOS ENTRADA 0 48 52 64 68 80 84 1 56 72 88 2 3 60 76 92 4 S E TO

Leia mais

Componentes de um computador Microcontroladores e microprocessadores Tecnologia em Manutenção Industrial MICROCONTROLADORES PROFESSOR FLÁVIO MURILO

Componentes de um computador Microcontroladores e microprocessadores Tecnologia em Manutenção Industrial MICROCONTROLADORES PROFESSOR FLÁVIO MURILO Componentes de um computador Microcontroladores e microprocessadores Tecnologia em Manutenção Industrial 1 Componentes dos computadores CPU; Memórias; Dispositivos de Entrada e Saída (E/S) - Input/Output

Leia mais

Visão geral do sistema de memória de computadores

Visão geral do sistema de memória de computadores Visão geral do sistema de memória de computadores 1 Capacidade da memória Humana Pesquisas concluem que o armazenamento de informações na memória humana se dá a uma taxa de aproximadamente 2 bits por segundo

Leia mais

Prof. Benito Piropo Da-Rin. Arquitetura, Organização e Hardware de Computadores - Prof. B. Piropo

Prof. Benito Piropo Da-Rin. Arquitetura, Organização e Hardware de Computadores - Prof. B. Piropo Prof. Benito Piropo Da-Rin Usadas predominantemente na MP devido às suas características: Permitem acesso apenas através do endereço. Ocupam pouco espaço. Grande quantidade de bits podem ser armazenados

Leia mais

Universidade Federal de Campina Grande Departamento de Sistemas e Computação Curso de Bacharelado em Ciência da Computação.

Universidade Federal de Campina Grande Departamento de Sistemas e Computação Curso de Bacharelado em Ciência da Computação. Universidade Federal de Campina Grande Departamento de Sistemas e Computação Curso de Bacharelado em Ciência da Computação Organização e Arquitetura de I Organização e Arquitetura Básicas B de (Parte II)

Leia mais

Arquitetura e Organização de Computadores. Processador Registrador Memória. Professor Airton Ribeiro

Arquitetura e Organização de Computadores. Processador Registrador Memória. Professor Airton Ribeiro Arquitetura e Organização de Computadores Processador Registrador Memória Professor Airton Ribeiro Processador A função de um computador é executar tarefas com a finalidade de resolver problemas. Uma tarefa

Leia mais

ELT502 Eletrônica Digital I Graduação em Engenharia Eletrônica

ELT502 Eletrônica Digital I Graduação em Engenharia Eletrônica Graduação em Engenharia Eletrônica Universidade Federal de Itajubá IESTI Aula 13 Memórias semicondutoras Prof. Rodrigo de Paula Rodrigues Memórias Contexto Sistemas digitais Representação Manipulação Grau

Leia mais

Arquitetura e Organização de Computadores. Processador Registrador Memória. Professor Airton Ribeiro

Arquitetura e Organização de Computadores. Processador Registrador Memória. Professor Airton Ribeiro Arquitetura e Organização de Computadores Processador Registrador Memória Professor Airton Ribeiro airton.ribeiros@gmail.com Processador A função de um computador é executar tarefas com a finalidade de

Leia mais

COMPUTADOR. Adão de Melo Neto

COMPUTADOR. Adão de Melo Neto COMPUTADOR Adão de Melo Neto 1 COMPUTADOR COMPUTADOR Barramento de Endereços: Determina qual a posição de memória que irá ser lida ou escrita (unidirecional). Barramento de Endereços: Transporta o dados

Leia mais

Memórias. IFRN -Instituto Federal de Educação, Ciência e Tecnologia do Rio Grande do Norte 17/01/2013

Memórias. IFRN -Instituto Federal de Educação, Ciência e Tecnologia do Rio Grande do Norte 17/01/2013 Aula 05 Memórias Memórias Em um computador, as memórias desempenham um papel tão importante quanto o da CPU. Uma CPU veloz só terá eficiência se a memória for também veloz e relativamente grande. Assim,

Leia mais

Laboratório de Hardware

Laboratório de Hardware Laboratório de Hardware Prof. Marcel Santos Silva RAM e ROM CARACTERÍSTICAS MEMÓRIA ROM MEMÓRIA RAM Nomenclatura Read Only Memory Random Access Memory Nome / Significado Memória somente Leitura Memória

Leia mais

MEMÓRIA INTRODUÇÃO A INFORMÁTICA VINÍCIUS PÁDUA

MEMÓRIA INTRODUÇÃO A INFORMÁTICA VINÍCIUS PÁDUA MEMÓRIA INTRODUÇÃO A INFORMÁTICA VINÍCIUS PÁDUA MEMÓRIA O que são as memórias? Armazenamento Instruções de um programa em execução Dados iniciais dos programas Resultados finais a serem transmitidos a

Leia mais

Sistemas Digitais II DISPOSITIVOS DE MEMÓRIA. Prof. Marlon Henrique Teixeira julho/2014

Sistemas Digitais II DISPOSITIVOS DE MEMÓRIA. Prof. Marlon Henrique Teixeira julho/2014 Sistemas Digitais II DISPOSITIVOS DE MEMÓRIA Prof. Marlon Henrique Teixeira julho/2014 Memórias Conteúdo Terminologia de memórias Princípios de operação Conexões CPU-Memória Memórias apenas de leitura

Leia mais

SUBSISTEMA DE MEMÓRIA FELIPE G. TORRES

SUBSISTEMA DE MEMÓRIA FELIPE G. TORRES Tecnologia da informação e comunicação SUBSISTEMA DE MEMÓRIA FELIPE G. TORRES MEMÓRIA INTERNA OU PRINCIPAL Nos primeiros computadores, a forma mais comum de armazenamento de acesso aleatório para a memória

Leia mais

Microcomputadores. Prof. Marcelo GonG. onçalves. Rubinstein

Microcomputadores. Prof. Marcelo GonG. onçalves. Rubinstein Microcomputadores Prof. Marcelo GonG onçalves Rubinstein Depto. de Eletrônica e Telecomunicações Faculdade de Engenharia Universidade do Estado do Rio de Janeiro Introdução Aplicações de microcomputadores

Leia mais

Memória Interna. Prof. Leonardo Barreto Campos 1

Memória Interna. Prof. Leonardo Barreto Campos 1 Memória Interna Prof. Leonardo Barreto Campos 1 Sumário Introdução; Sistema de Memória de Computadores; Hierarquia de Memória; Memória Principal de Semicondutores; Memória Cache; Organizações das Memórias

Leia mais

Arquitetura e Funcionamento do Computador

Arquitetura e Funcionamento do Computador Arquitetura e Funcionamento do Computador Memória É todo componente capaz de ARMAZENAR informações. Memórias Digitais Memórias: são componentes responsáveis por armazenar dados e programas (instruções)

Leia mais

SISTEMAS DIGITAIS (SD)

SISTEMAS DIGITAIS (SD) SISTEMAS DIGITAIS (SD) MEEC Acetatos das Aulas Teóricas Versão 3.0 - Português Aula N o 21: Título: Sumário: Memórias Circuitos e tecnologias de memória (RAM estática e dinâmica, ROM); Planos de memória;

Leia mais

FACULDADE LEÃO SAMPAIO

FACULDADE LEÃO SAMPAIO FACULDADE LEÃO SAMPAIO Microcontroladores Curso de Análise e Desenvolvimento de Sistemas 1 Componentes CPU Memórias Dispositivos de Entrada/Saída (E/S) Input/Output (I/O) 2 CPU A CPU busca informações

Leia mais

Sistemas Digitais (SD) Memórias

Sistemas Digitais (SD) Memórias Sistemas Digitais (SD) Memórias Aula Anterior Na aula anterior: Exemplo (Moore) Projecto de circuitos sequenciais baseados em contadores 2 Planeamento SEMANA TEÓRICA 1 TEÓRICA 2 PROBLEMAS/LABORATÓRIO 15/Fev

Leia mais

Memória. Prof. Alexandre Beletti Cap. 4 Monteiro, Cap. 2 Tanenbaum, Cap. 5 Stallings, Cap. 3 - Weber. Introdução (Weber)

Memória. Prof. Alexandre Beletti Cap. 4 Monteiro, Cap. 2 Tanenbaum, Cap. 5 Stallings, Cap. 3 - Weber. Introdução (Weber) Memória Prof. Alexandre Beletti Cap. 4 Monteiro, Cap. 2 Tanenbaum, Cap. 5 Stallings, Cap. 3 - Weber Introdução (Weber) A memória está divida em palavras Cada palavra é identificada por um endereço O conteúdo

Leia mais

Memória (conceitos) MEMÓRIA VOLÁTIL

Memória (conceitos) MEMÓRIA VOLÁTIL MEMÓRIA 1 MEMÓRIA VOLÁTIL Memória (conceitos) É aquela que perde a informação armazenada quando a energia elétrica desaparece MEMÓRIA DE SEMICONDUTORES Construídas com FLIP-FLOPS (que são construídas com

Leia mais

Registradores de Deslocamento e Memórias

Registradores de Deslocamento e Memórias Registradores de eslocamento e Memórias Rodrigo Hausen 1 Registradores de deslocamento Exercício 1 Usando flip-flops do tipo, projete uma máquina de estado com duas entradas, (clock) e d (um bit de dado),

Leia mais

Sistemas de Computação

Sistemas de Computação Sistemas de Computação Sexta Aula Haroldo Gambini Santos Universidade Federal de Ouro Preto - UFOP 15 de abril de 2010 Haroldo Gambini Santos Sistemas de Computação 1/17 Seção 1 A Memória Principal 2 Outros

Leia mais

INFORMÁTICA MEMÓRIAS. Prof. MSc. Glécio Rodrigues de Albuquerque

INFORMÁTICA MEMÓRIAS. Prof. MSc. Glécio Rodrigues de Albuquerque INFORMÁTICA MEMÓRIAS de Albuquerque Ementa Conceitos de Hardware e Software Dispositivos de Entrada e Saída Processadores e Memórias Componentes das janelas Paint e WordPad Arquivos e pastas Teclas de

Leia mais

Sistemas de Computação. Seção Notas. A Memória Principal. Notas. Sexta Aula. Haroldo Gambini Santos. 26 de abril de Notas

Sistemas de Computação. Seção Notas. A Memória Principal. Notas. Sexta Aula. Haroldo Gambini Santos. 26 de abril de Notas Sistemas de Computação Sexta Aula Haroldo Gambini Santos Universidade Federal de Ouro Preto - UFOP 26 de abril de 2010 Haroldo Gambini Santos Sistemas de Computação 1/17 Seção 1 A Memória Principal 2 Outros

Leia mais

CAPÍTULO 13 - MEMÓRIAS

CAPÍTULO 13 - MEMÓRIAS INSTALAÇÕES ELÉTRICAS - CIRCUITOS DIGITAIS - Prof. Nelson M. Kanashiro CAPÍTULO 13 - MEMÓRIAS 1. Introdução Em muitas aplicações digitais, principalmente as que envolvem a manipulação de grande quantidade

Leia mais

FUNDAMENTOS DE ARQUITETURAS DE COMPUTADORES MEMÓRIA PRINCIPAL CAPÍTULO 4. Cristina Boeres

FUNDAMENTOS DE ARQUITETURAS DE COMPUTADORES MEMÓRIA PRINCIPAL CAPÍTULO 4. Cristina Boeres FUNDAMENTOS DE ARQUITETURAS DE COMPUTADORES MEMÓRIA PRINCIPAL CAPÍTULO 4 Cristina Boeres Memória! É um dos componentes de um sistema de computação! Sua função é armazenar informações que são ou serão manipuladas

Leia mais

EPROM - EEPROM Dispositivos Lógicos Programáveis

EPROM - EEPROM Dispositivos Lógicos Programáveis EPROM - EEPROM Dispositivos Lógicos Programáveis Matuzalém Muller dos Santos Mathias Silva da Rosa 20 de fevereiro de 2015 Resumo Este artigo tem como objetivo apresentar uma breve descrição sobre o desenvolvimento

Leia mais

Arquitetura de Computadores. Aula 8 Memória Principal

Arquitetura de Computadores. Aula 8 Memória Principal Arquitetura de Computadores Aula 8 Memória Principal Prof. Dr. Eng. Fred Sauer http://www.fredsauer.com.br fsauer@gmail.com 1 Memória RAM 2 MEMÓRIA PRINCIPAL - MP 3 MEMÓRIA PRINCIPAL (RAM) S U M Á R I

Leia mais

ORGANIZAÇÃO DE COMPUTADORES CAPÍTULO4: MEMÓRIAPRINCIPAL

ORGANIZAÇÃO DE COMPUTADORES CAPÍTULO4: MEMÓRIAPRINCIPAL ORGANIZAÇÃO DE COMPUTADORES CAPÍTULO4: MEMÓRIAPRINCIPAL MEMÓRIA Componente de um sistema de computação cuja função é armazenar informações que são manipuladas pelo sistema para que possam ser recuperadas

Leia mais

http://www.ic.uff.br/~debora/fac! 1 Capítulo 4 Livro do Mário Monteiro Introdução Hierarquia de memória Memória Principal Organização Operações de leitura e escrita Capacidade 2 Componente de um sistema

Leia mais

Arquitetura de Sistemas Embarcados

Arquitetura de Sistemas Embarcados Arquitetura de Sistemas Embarcados (GQD%DUURV HQVE#FLQXISHEU &HQWURGH,QIRUPiWLFD± 8)3( Capítulo 5: Memória Roteiro Habilidade de escrita em memória e permanencia da informação Tipos comuns de memórias

Leia mais

Arduino Lab 08 Banco de teste para memória RAM HM6116 de 16k

Arduino Lab 08 Banco de teste para memória RAM HM6116 de 16k Arduino Lab 08 Banco de teste para memória RAM HM6116 de 16k Neste Lab iremos descrever a implementação de um sistema para testar antigas memórias RAM, estática, modelo HM6116LP-4 que ainda são utilizadas

Leia mais

Memória SRAM 64x8 bits

Memória SRAM 64x8 bits UNIVERSIDADE FEDERAL DO PARANÁ Leonardo H. Menezes André N. Makoski Memória SRAM 64x8 bits Artigo elaborado como parte da avaliação da Disciplina de Circuitos Integrados Digitais, ministrada pelos Profs.:

Leia mais

RAM e ROM. Laboratório de Hardware. Memórias. Memórias. Memórias. Memórias. Memórias. Memória ROM. Memória ROM. Memória ROM. Memória RAM.

RAM e ROM. Laboratório de Hardware. Memórias. Memórias. Memórias. Memórias. Memórias. Memória ROM. Memória ROM. Memória ROM. Memória RAM. RAM e ROM Laboratório de Hardware Prof. Marcel Santos Silva CARACTERÍSTICAS MEMÓRIA ROM MEMÓRIA RAM Nomenclatura Read Only Memory RandomAccess Memory Nome / Significado omente Leitura Memória de acesso

Leia mais

EL68E Sistemas Embarcados Prof. Douglas RENAUX

EL68E Sistemas Embarcados Prof. Douglas RENAUX EL68E Sistemas Embarcados Prof. Douglas RENAUX Memórias Tecnologias de Memórias Voláteis x Não-Voláteis Estáticas x Dinâmicas Tipos: ROM PROM EPROM Flash SRAM SDRAM DDR Conceitos Organização externa x

Leia mais

Memória Principal. Tiago Alves de Oliveira

Memória Principal. Tiago Alves de Oliveira Memória Principal Tiago Alves de Oliveira tiago@div.cefetmg.br Memória Principal Capítulo 4 Livro do Mário Monteiro Introdução Hierarquia de memória Memória Principal Organização Operações de leitura e

Leia mais

Memórias. Alberto Felipe Friderichs Barros

Memórias. Alberto Felipe Friderichs Barros Memórias Alberto Felipe Friderichs Barros Memória Todo computador é dotado de uma quantidade de memória, que pode variar de máquina para máquina, a qual se constitui de um conjunto de circuitos capazes

Leia mais

Memórias. EL68E Sistemas Embarcados. Tecnologias de Memórias. Conceitos. Profs. Douglas Renaux e Hugo Vieira Neto

Memórias. EL68E Sistemas Embarcados. Tecnologias de Memórias. Conceitos. Profs. Douglas Renaux e Hugo Vieira Neto EL68E Sistemas Embarcados Profs. Douglas Renaux e Hugo Vieira Neto Memórias Tecnologias de Memórias Voláteis x Não-Voláteis Estáticas x Dinâmicas Tipos: ROM PROM EPROM Flash SRAM SDRAM DDR Conceitos Organização

Leia mais

ARQUITETURA DE COMPUTADORES. Nível da Lógica Digital. Prof.: Agostinho S. Riofrio

ARQUITETURA DE COMPUTADORES. Nível da Lógica Digital. Prof.: Agostinho S. Riofrio ARQUITETURA DE COMPUTADORES Nível da Lógica Digital Prof.: Agostinho S. Riofrio Agenda 1. Portas Lógicas 2. Algebra de Boole 3. Equivalencia de circuitos 4. Circuitos Lógicos Digitais 5. Relógio 6. Memória

Leia mais

ü Capítulo 4 Livro do Mário Monteiro ü Introdução ü Hierarquia de memória ü Memória Principal ü Memória principal ü Memória cache

ü Capítulo 4 Livro do Mário Monteiro ü Introdução ü Hierarquia de memória ü Memória Principal ü Memória principal ü Memória cache Departamento de Ciência da Computação - UFF Principal Profa. Débora Christina Muchaluat Saade debora@midiacom.uff.br Principal ü Capítulo 4 Livro do Mário Monteiro ü Introdução ü Hierarquia de memória

Leia mais

Arquitetura e Organização de Computadores

Arquitetura e Organização de Computadores UNIVERSIDADE FEDERAL RURAL DO SEMI-ÁRIDO DEPARTAMENTO DEPARTAMENTO DE CIÊNCIAS CIÊNCIAS EXATAS E E NATURAIS NATURAIS CURSO DE CIÊNCIA DA COMPUTAÇÃO CURSO DE CIÊNCIA DA COMPUTAÇÃO Arquitetura e Organização

Leia mais

Os computadores necessitam de uma memória principal, cujo papel primordial é armazenar dados e programas que estejam a ser utilizados no momento.

Os computadores necessitam de uma memória principal, cujo papel primordial é armazenar dados e programas que estejam a ser utilizados no momento. Memórias Os computadores necessitam de uma memória principal, cujo papel primordial é armazenar dados e programas que estejam a ser utilizados no momento. O computador, além da memória principal, necessita

Leia mais

Capítulo 12) Dispositivos de Memória

Capítulo 12) Dispositivos de Memória Capítulo 12) Dispositivos de Memória Terminologia / Velocidade / Preço Tipos de memória / Leitura / Escrita Capacidade Procedimentos de Leitura e Escrita ROM / FLASH / RAM / SRAM / DRAM 12.1) Terminologia

Leia mais

PCS-2529 Introdução aos Processadores. Prof. Dr. Paulo Sérgio Cugnasca

PCS-2529 Introdução aos Processadores. Prof. Dr. Paulo Sérgio Cugnasca PCS-2529 Introdução aos Processadores Prof. Dr. Paulo Sérgio Cugnasca 1 4. MEMÓRIA 2 4. MEMÓRIA A memória é um componente essencial de todo computador, sendo utilizada para armazenar as instruções a serem

Leia mais

DEFINIÇÃO É TODO AQUELE DISPOSITIVO CAPAZ DE ARMAZENAR INFORMAÇÃO. A

DEFINIÇÃO É TODO AQUELE DISPOSITIVO CAPAZ DE ARMAZENAR INFORMAÇÃO. A U E S C Memória DEFINIÇÃO É TODO AQUELE DISPOSITIVO CAPAZ DE ARMAZENAR INFORMAÇÃO. A Hierarquia de Memória Em um Sistema de computação existem vários tipos de memória que interligam-se de forma bem estrutura

Leia mais

ESTRUTURA GERAL DE DE UMA RAM

ESTRUTURA GERAL DE DE UMA RAM ESTRUTURA GERAL DE DE UMA RAM 0 Célula K,L A 0 A 1 A M-1 Decodificador X 1 K Linha de Palavra Linha de Bit Profundidade = 8,16 bits, etc... 2 M -1 0 1... L 2 N -1 Amplificadores sensores / drivers A M

Leia mais

Memórias Parte 1. SEL-0415 Introdução à Organização de Computadores. Aula 4. Prof. Dr. Marcelo Andrade da Costa Vieira

Memórias Parte 1. SEL-0415 Introdução à Organização de Computadores. Aula 4. Prof. Dr. Marcelo Andrade da Costa Vieira Departamento de Engenharia Elétrica - EESC-USP SEL-0415 Introdução à Organização de Computadores Memórias Parte 1 Aula 4 Prof. Dr. Marcelo Andrade da Costa Vieira Memória Semicondutora Elemento Básico

Leia mais

Arquitetura de Computadores

Arquitetura de Computadores Arquitetura de Computadores Aula 03 Prof. Dr. Saulo Amui 1/16 2/16 Memórias Memória A memória é a capacidade de adquirir (aquisição), armazenar (consolidação) e recuperar (evocar) informações disponíveis,

Leia mais

6 MEMÓRIAS SEMICONDUTORAS

6 MEMÓRIAS SEMICONDUTORAS 6 MEMÓRIAS SEMICONDUTORAS 6.1 INTRODUÇÃO Memórias são dispositivos semicondutores que armazenam informações na forma binária. São informações constituídas de números, letras, caracteres quaisquer, comandos

Leia mais

E II Circuitos Digitais

E II Circuitos Digitais Introdução Circuitos Digitais MOS: Pequena área Fabrico simples Baixo consumo Elevada densidade de integração Sinais digitais: só dois estados lógicos, 0 e 1, com zona de separação Escala de Integração:

Leia mais

Memórias. César Yutaka Ofuchi (Adaptado do Prof. Hugo Vieira Neto/ Prof. Douglas Renaux) César Ofuchi

Memórias. César Yutaka Ofuchi (Adaptado do Prof. Hugo Vieira Neto/ Prof. Douglas Renaux) César Ofuchi 1 Memórias César Yutaka Ofuchi ofuchi@utfpr.edu.br (Adaptado do Prof. Hugo Vieira Neto/ Prof. Douglas Renaux) 2 Sumário Tecnologia de Memórias Histórico Memórias Voláteis Memórias Não Voláteis Memórias

Leia mais

Electrónica Geral. Autor: José Gerald. MEAer: 4º ano, 1º semestre MEFT: 3º ano, 1º semestre 2015/2016

Electrónica Geral. Autor: José Gerald. MEAer: 4º ano, 1º semestre MEFT: 3º ano, 1º semestre 2015/2016 Electrónica Geral Autor: José Gerald MEAer: 4º ano, 1º semestre MEFT: 3º ano, 1º semestre 2015/2016 Circuitos Digitais Capítulo Versão 1.008 1 1. Introdução 1.1. Introdução Circuitos Digitais MOS: Pequena

Leia mais

Introdução à Ciência da Computação

Introdução à Ciência da Computação 1 Universidade Federal Fluminense Campus de Rio das Ostras Curso de Ciência da Computação Introdução à Ciência da Computação Professor: Leandro Soares de Sousa e-mail: lsousa@id.uff.br site: http://www.ic.uff.br/~lsousa

Leia mais

Programador/a de Informática

Programador/a de Informática 481040 - Programador/a de Informática UFCD - 0770 Dispositivos e periféricos Sessão 4 SUMÁRIO Memórias Primárias RAM ROM Cache Comunicam diretamente com o processador; Armazenam pequenas quantidades de

Leia mais