26.1. Máquinas de comunicação serial no MSP430.

Tamanho: px
Começar a partir da página:

Download "26.1. Máquinas de comunicação serial no MSP430."

Transcrição

1 26. USART Máquinas de comunicação serial no MSP430. Os dispositivos MSP430 tem dois tipos de máquinas para comunicação serial: USART: Universal Synchronous/Asynchronous Receive/Transmit; USCI: Universal Serial Communication Interface; Cada uma destas máquinas pode ser configurada para operar em modos de transmissão distintos: UART: Universal Asynchronous Receive/Transmit; SPI: Synchronous Peripheral Interface; I 2 C: Inter-Integrated Circuit É necessário consultar o datasheet de cada dispositivo para saber exatamente qual máquina está presente no chip e quais as funcionalidades ativas. Para os dois dispositivos que temos presentes na Experimenter Board, tem-se as seguintes máquinas seriais: MSP430x20x2, MSP430x20x3: o USCI: Universal Serial Communication Interface; SPI: Synchronous Peripheral Interface; I 2 C: Inter-Integrated Circuit MSP430Xg461x: o USART: Universal Synchronous/Asynchronous Receive/Transmit; UART: Universal Asynchronous Receive/Transmit; SPI: Synchronous Peripheral Interface o USCI: Universal Serial Communication Interface; Enhanced UART: Universal Asynchronous Receive/Transmit; IrDA Encoder & Decoder: Infrared Data Association SPI: Synchronous Peripheral Interface; I 2 C: Inter-Integrated Circuit Página 135

2 26.2. O RS232 na Experimenter Board Durante o nosso treinamento serão abordadas as máquinas USART e USCI do MSP430FG4618, ambas configuradas para modo UART, como veremos a seguir. Porém apenas a USCI tem conexão com o terminal DB9 da placa, como pode ser visto pelo diagrama elétrico acima. Página 136

3 26.3. Breve introdução à comunicação serial. Página 137

4 Página 138

5 Página 139

6 26.4. O padrão de comunicação serial RS232 (EIA232) O que é RS232 RS é uma abreviação de Recommended Standard. Ela relata uma padronização de uma interface comum para comunicação de dados entre equipamentos, criada no início dos anos 60, por um comitê conhecido atualmente como Electronic Industries Association (EIA). Naquele tempo, a comunicação de dados compreendia a troca de dados digitais entre um computador central (mainframe) e terminais de computador remotos, ou entre dois terminais sem o envolvimento do computador. Estes dispositivos poderiam ser conectados através de linha telefônica, e conseqüentemente necessitavam um modem em cada lado para fazer a decodificação dos sinais. Dessas idéias nasceu o padrão RS232. Ele especifica as tensões, temporizações e funções dos sinais, um protocolo para troca de informações, e as conexões mecânicas. A mais de 30 anos desde que essa padronização foi desenvolvida, a EIA publicou três modificações. A mais recente, EIA232E, foi introduzida em Ao lado da mudança de nome de RS232 para EIA232, algumas linhas de sinais foram renomeadas e várias linhas novas foram definidas Definições de sinais Se a norma EIA232 completa for implementada, o equipamento que faz o processamento dos sinais é chamado DTE (Data Terminal Equipment usualmente um computador ou terminal), tem um conector DB9 macho, e utilizando os pinos mostrados na figura a seguir para sinais ou terra. O equipamento que faz a conexão (normalmente uma interface com a linha telefônica) é denominado de DCE (Data Circuit-terminating Equipment usualmente um modem), tem um conector DB9 fêmea, e utilizando os pinos mostrados na figura a seguir para sinais ou terra. Página 140

7 Um cabo de conexão entre dispositivos DTE e DCE contém ligações em paralelo, não necessitando mudanças na conexão de pinos. Se todos os dispositivos seguissem essa norma, todos os cabos seriam idênticos, e não haveria chances de haver conexões incorretas. Diversos sinais são necessários para conexões onde o dispositivo DCE é um modem, e eles são utilizados apenas quando o protocolo de software os emprega. Para dispositivos DCE que não são modem, ou quando dois dispositivos DTE são conectados diretamente, poucos sinais são necessários. Os sinais de temporização de transmissão e recepção são utilizados somente quando o protocolo de transmissão utilizado for síncrono. Para protocolos assíncronos, padrão 8 bits, os sinais de temporização externos são desnecessários. Os nomes dos sinais que implicam em uma direção, como Transmit Data e Receive Data, são nomeados do ponto de vista dos dispositivos DTE. Se a norma EIA232 for seguida a risca, estes sinais terão o mesmo nome e o mesmo número de pino do lado do DCE. Infelizmente, isto não é feito na prática pela maioria dos usuários, provavelmente porque em alguns casos torna-se difícil definir quem é o DTE e quem é o DCE. A figura a seguir apresenta a convenção utilizada para os sinais mais comuns. Página 141

8 Definições de sinais As funções dos sinais da norma EIA232 podem ser subdivididos categorias, apresentados na tabela a seguir. Página 142

9 Características dos sinais Todas as linhas, sejam elas de informações de dados, temporização ou controle, podem ser representadas pelo mesmo circuito elétrico equivalente da figura a seguir: Este circuito equivalente aplica-se aos sinais originados tanto no DTE quanto no DCE. A capacitância Co não é especificada na norma, mas deve ser assumida como pequena e consistir apenas de elementos parasitas. Ro e Vo são escolhidos de forma tal que a corrente de curto-circuito não exceda a 500 ma. Sinais com tensão entre 3 volts e 25 volts com relação ao terra (pino 7) são considerados nível lógico 1 (condição marca), e tensões entre +3 volts e +25 volts são considerados nível lógico 0 (condição espaço). A faixa de tensões entre 3 volts e +3 volts é considerada uma região de transição para o qual o estado do sinal é indefinido. Página 143

10 Quatro sinais foram implementados com segurança à falhas ( fail-safe design ) no qual durante a desenergização ou desconexão do cabo, seus sinais estarão desabilitados (nível lógico 0 ). São eles: Sinal RTS desabilitado Sinal SRTS desabilitado Sinal DTR DTE não pronto Sinal DSR DCE não pronto Modo UART na máquina USCI. Como foi abordado na breve introdução a comunicação serial, através da máquina USCI, configurada em modo UART, será possível conectar o MSP430 ao mundo externo através de dois pinos: UCA0RXD e UCA0TXD. Isto permitirá a transmissão de dados em modo full duplex. Algumas das características que estão presentes neste modo são: Transmissão de 7 ou 8 bits de dados, com ou sem paridade; Registradores de TX e RX independentes; Buffers de TX e RX separados; Transmissão e recepção através de LSB-first; Possibilidade de transmissão de bit de endereçamento; Detector de início de recepção com possibilidade de acordar o dispositivo; Taxa de transmissão programável; Indicador de erro de TX/RX; Capacidade de interrupção independente para TX e RX. Quando neste modo, a USCI transmite e recebe caracteres a uma determinada taxa de bits em modo assíncrono, para outro dispositivo. O tempo que é gasto para transmitir cada bit de dado é baseado na freqüência de baud rate ajustada na USCI, e é sempre a mesma para transmitir e receber informações Inicializando a USCI. A máquina USCI é resetada toda vez que ocorrer um PUC ou quando o bit USCWRST = 1. Após a ocorrência de um PUC, o bit USCWRST sempre é levado para nível lógico um, o que significa dizer que a USCI sempre começa a operar em condição de reset. É necessário passar este bit para nível lógico zero para que a operação com a USCI seja liberada. Página 144

11 Isto faz com que os bits UCAxRXIE, UCAxTXIE, UCAxRXIFG, UCRXERR, UCBRK, UCPE, UCOE, UCFE, UCTOE e UCBTOE sejam resetados, e o bit UCAxTXIFG seja setado. É importante ter isto em mente, pois após estudar o que cada um destes sinais fazem na configuração da máquina, você entenderá em que estado ela começa a trabalhar quando o chip é resetado. Página 145

12 26.7. Formato dos dados transmitidos e recebido pela UART da máquina USCI Gerador de Baud Rate da USCI. Dentro da máquina USCI tem-se um módulo que tem a capacidade de gerar a base de tempo necessária para a transmissão de dados em modo assíncrono. Lembre-se que os dispositivos que fazem comunicação assíncrona necessitam que esta base de tempo seja a mais precisa possível, de modo que possam identificar perfeitamente onde está cada bit ao longo do tempo. Pequenos erros no valor do Baud Rate costumam gerar grandes erros na transmissão de dados. O módulo gerador de Baud Rate do MSP430 na máquina USCI pode ser visto na figura a seguir. Página 146

13 No MSP430 a temporização para validar cada bit recebido é mostrado na figura abaixo. A amostra de informação ocorre nos momentos N/2-1, N/2 e N/2+1 dos pulsos do BRCLK. N é a relação entre o número de pulsos do BRCLK para cada BITCLK Ajuste de Baud Rate da USCI A fonte de clock é selecionada através dos bits UCSSELx. Esta seleção indicará qual é a velocidade presente na entrada BRCLK. Sabendo o valor da velocidade de BRCLK (f BRCLK ), o valor da baud rate é calculado através da expressão abaixo: A máquina USCI pode trabalhar em dois modos de velocidade de baud rate: LOW- FREQUENCY e OVERSAMPLING. A seleção de qual modo está ajustado na máquina depende do valor do bit USOC16, onde: USOC16 = 0 LOW FREQUENCY; USOC16 = 1 OVERSAMPLING; O fator N depende de qual dos dois modos de velocidade está selecionado na máquina. Fator N quando USOC16 = 0 LOW FREQUENCY; A parte inteira de N será calculada por: A parte fracionária de N será calculada por: Página 147

14 Fator N quandousoc16 = 1 OVERSAMPLING; A parte inteira de N será calculada por: A parte fracionária de N será calculada por: Temporização dos bits de recepção A temporização na recepção tem duas fontes de erro. A primeira é o tempo de bit a bit, como acontece na transmissão. A segunda é o erro entre uma borda de início de recepção ocorrer e o tempo necessário para que a máquina entenda que isto aconteceu e comece a processar os dados de entrada. Estes dois erros podem ser melhor visualizados através do mapa de tempos mostrados na figura a seguir. A temporização ideal para início do start bit é a metade da temporização de baud rate, porque cada bit sempre é testado na metade deste período. Assim, a temporização ideal para todos os bits restantes deve seguir a mesma lógica. Página 148

15 Taxas típicas de transmissão e recepção e seus respectivos erros na máquina USCI no modo UART USOC16 = 0 LOW FREQUENCY; Página 149

16 USOC16 = 1 OVERSAMPLING; Página 150

17 26.9. Interrupções da USCI no modo UART. A USCI possui vetores de interrupção separados para TX e RX. Isto permite que os dois tipos de interrupção ocorram separadamente Interrupção numa operação de TX A flag de interrupção de transmissão UCAxTXIFG é setada pelo transmissor para indicar que o buffer UCAxTXBUF está pronto para aceitar mais um caractere a ser transmitido. Para que isto ocorra é necessário que os bits GIE e UCAxTXIE estejam em nível lógico 1. A flag de interrupção é automaticamente apagada quando o programa entra na rotina de tratamento de interrupção ou quando um novo caractere é gravado no registrador UCAxTXBUF. UCAxTXIFG é setada após um PUC ou quando UCSWRST = 1. UCAxTXIE é resetado após um PUC ou quando UCSWRST = Interrupção numa operação de RX A flag de interrupção de recepção UCAxRXIFG é setada pelo receptor quando um caractere é recebido e gravado com sucesso no buffer UCAxRXBUF. Para que isto ocorra é necessário que os bits GIE e UCAxRXIE estejam em nível lógico 1. A flag de interrupção é automaticamente apagada quando o programa entra na rotina de tratamento de interrupção ou quando o registrador UCAxRXBUF é lido. UCAxRXIFG e UCAxRXIE são automaticamente resetadas após um PUC ou quando UCSWRST = Os principais registradores de controle da USCI. Página 151

18 Página 152

19 Página 153

20 Página 154

21 Página 155

22 Dois códigos de exemplo de configuração e uso da USCI EXEMPLO-33 msp430xg46x_uscia0_9600.c //****************************************************************************** // MSP430xG46x Demo - USCI_A0, Ultra-Low Pwr UART 9600 Echo ISR, 32kHz ACLK // // Description: Echo a received character, RX ISR used. Normal mode is LPM3, // USCI_A0 RX interrupt triggers TX Echo. // ACLK = BRCLK = LFXT1 = 32768, MCLK = SMCLK = DCO~1048k // Baud rate divider with 32768hz = 32768Hz/9600 = 3.41 (0003h 03h ) // //* An external watch crystal is required on XIN XOUT for ACLK *// // // // MSP430xG461x // // / \ XIN - // 32kHz // -- RST XOUT - // // P4.7/UCA0RXD > // N1 // P4.6/UCA0TXD < // // K. Quiring/ M. Mitchell // Texas Instruments Inc. // October 2006 // Built with IAR Embedded Workbench Version: 3.41A //****************************************************************************** #include "msp430xg46x.h" void main(void) { volatile unsigned int i; WDTCTL = WDTPW+WDTHOLD; FLL_CTL0 = XCAP14PF; do { IFG1 &= ~OFIFG; for (i = 0x47FF; i > 0; i--); } while ((IFG1 & OFIFG)); // Stop WDT // Configure load caps // Clear OSCFault flag // Time for flag to set // OSCFault flag still set? P4SEL = 0x0C0; // P4.7,6 = USCI_A0 RXD/TXD UCA0CTL1 = UCSSEL_1; // CLK = ACLK UCA0BR0 = 0x03; // 32k/ UCA0BR1 = 0x00; // UCA0MCTL = 0x06; // Modulation UCA0CTL1 &= ~UCSWRST; // **Initialize USCI state machine** IE2 = UCA0RXIE; // Enable USCI_A0 RX interrupt } _BIS_SR(LPM0_bits + GIE); // Enter LPM0, interrupts enabled // Echo back RXed character, confirm TX buffer is ready first #pragma vector=usciab0rx_vector interrupt void USCIA0RX_ISR (void) { while(!(ifg2&uca0txifg)); UCA0TXBUF = UCA0RXBUF; // TX -> RXed character } Página 156

23 EXEMPLO-34 msp430xg46x_uscia0_uart_115k_lpm.c //****************************************************************************** // MSP430xG46x Demo - USCI_A0, UART Echo ISR, DCO SMCLK, LPM3 // // Description: Echo a received character, RX ISR used. Normal mode is LPM3. // Automatic clock activation for SMCLK through the USCI is demonstrated. // USCI_A0 RX interrupt triggers TX Echo. // Baud rate divider with hz = / = ~9.1 (009h 01h) // ACLK = LFXT1 = 32768Hz, MCLK = SMCLK = default DCO = 32 x ACLK = Hz // //* An external watch crystal between XIN & XOUT is required for ACLK *// // // MSP430xG461x // // / \ XIN - // 32kHz // -- RST XOUT - // // P4.6/UCA0TXD > // N1 // P4.7/UCA0RXD < // // // A. Dannenberg/ M. Mitchell // Texas Instruments Inc. // October 2006 // Built with IAR Embedded Workbench Version: 3.41A //****************************************************************************** #include "msp430xg46x.h" void main(void) { volatile unsigned int i; WDTCTL = WDTPW+WDTHOLD; FLL_CTL0 = XCAP14PF; do { IFG1 &= ~OFIFG; for (i = 0x47FF; i > 0; i--); } while ((IFG1 & OFIFG)); // Stop WDT // Configure load caps // Clear OSCFault flag // Time for flag to set // OSCFault flag still set? P4SEL = 0x0C0; // P4.7,6 = USCI_A0 RXD/TXD UCA0CTL1 = UCSSEL_2; // SMCLK UCA0BR0 = 0x09; // 1MHz UCA0BR1 = 0x00; // 1MHz UCA0MCTL = 0x02; // Modulation UCA0CTL1 &= ~UCSWRST; // **Initialize USCI state machine** IE2 = UCA0RXIE; // Enable USCI_A0 RX interrupt } bis_sr_register(lpm3_bits + GIE); // Enter LPM4, interrupts enabled // Echo back RXed character, confirm TX buffer is ready first #pragma vector=usciab0rx_vector interrupt void USCI0RX_ISR(void) { while (!(IFG2&UCA0TXIFG)); // USCI_A0 TX buffer ready? UCA0TXBUF = UCA0RXBUF; // TX -> RXed character } Página 157

24 Modo UART na máquina USART. Como foi abordado na breve introdução a comunicação serial, através da máquina USART, configurada em modo UART, será possível conectar o MSP430 ao mundo externo através de dois pinos: URXD e UTXD. Isto permitirá a transmissão de dados em modo full duplex. Algumas das características que estão presentes neste modo são: Transmissão de 7 ou 8 bits de dados, com ou sem paridade; Registradores de TX e RX independentes; Buffers de TX e RX separados; Transmissão e recepção através de LSB-first; Possibilidade de transmissão de bit de endereçamento; Detector de início de recepção com possibilidade de acordar o dispositivo; Taxa de transmissão programável; Indicador de erro de TX/RX; Capacidade de interrupção independente para TX e RX. Quando neste modo, a USART transmite e recebe caracteres a uma determinada taxa de bits em modo assíncrono, para outro dispositivo. O tempo que é gasto para transmitir cada bit de dado é baseado na freqüência de baud rate ajustada na USART, e é sempre a mesma para transmitir e receber informações Inicializando a USART. A máquina USART é resetada toda vez que ocorrer um PUC ou quando o bit SWRST = 1. Após a ocorrência de um PUC, o bit SWRST sempre é levado para nível lógico um, o que significa dizer que a USART sempre começa a operar em condição de reset. É necessário passar este bit para nível lógico zero para que a operação com a USART seja liberada. Isto faz com que os bits URXIEx, UTXIEx, URXIFGx, RXWAKE, TXWAKE, RXERR, BRK, PE, OE e FE sejam resetados, e os bits UTXIFGx e TXEPT sejam setados. Já as flags URXEx e UTXEx não são alteradas. É importante ter isto em mente, pois após estudar o que cada um destes sinais fazem na configuração da máquina, você entenderá em que estado ela começa a trabalhar quando o chip é resetado. Página 158

25 Formato dos dados transmitidos e recebido pela USART. Página 159

26 Gerador de Baud Rate da USART. Dentro da máquina USART tem-se um módulo que tem a capacidade de gerar a base de tempo necessária para a transmissão de dados em modo assíncrono. Lembre-se que os dispositivos que fazem comunicação assíncrona necessitam que esta base de tempo seja a mais precisa possível, de modo que possam identificar perfeitamente onde está cada bit ao longo do tempo. Pequenos erros no valor do Baud Rate costumam gerar grandes erros na transmissão de dados. O módulo gerador de Baud Rate do MSP430 pode ser visto na figura a seguir. Página 160

27 No MSP430 a temporização para validar cada bit recebido é mostrado na figura abaixo. A amostra de informação ocorre nos momentos N/2-1, N/2 e N/2+1 dos pulsos do BRCLK. N é a relação entre o número de pulsos do BRCLK para cada BITCLK Tempo de Bit do Gerador de Baud Rate O primeiro estágio do gerador de Baud Rate é o contador/comparador de 16 bits. No início de cada transmissão ou recepção, o contador é carregado um o valor inteiro de N/2, onde N é o valor de 16 bits armazenados nos dois registradores de 8 bits UxBR0 e UxBR1. Este contador é recarregado com INT (N/2) a cada metade de ciclo de transmissão/recepção de cada bit. Isto Isto resultará o período total de um bit para N BRCLKs. Deste modo, para um determinado valor do BRCLK, o valor utilizado para Baud Rate irá determinar o requisito do fator de divisão N, como pode ser visto na equação abaixo. É claro que matematicamente podemos escrever também que: Página 161

28 Lembre-se que o resultado da divisão que gera o valor de N pode resultar em um valor não inteiro, um valor decimal. A parte inteira deste valor pode ser aproveitada pelo divisor (prescaler). O segundo estágio do gerador de Baud Rate é o modulador. Ele é utilizado para encontrar a parte fracionária de N mais próxima possível do valor real obtido durante a operação de divisão. Assim, a definição do fator N é: Onde: N: fator de divisão alvo para um determinado Baud Rate; UxBR: 16 bits resultantes dos registradores UxBR0 e UxBR1; i: posicionamento de cada bit dentro de um caractere transmitido ou recebido; n: número total de bits transmitidos ou recebidos em um caractere; m i : dado carregado em cada bit (0 ou 1). Assim, com as informações anteriores, podemos chegar a seguinte expressão: O valor de BICLK pode ser ajustado para cada bit com o auxílio do modulador, para encontrar o tempo necessário quando um valor de N não inteiro é obtido. A temporização de cada bit é expandida para um ciclo do BRCLK se o bit m i do modulador está setado. A cada momento que um bit é recebido ou transmitido, o próximo bit no registrador de controle do modulador determina qual a temporização daquele bit. Levar o bit set modulation para nível lógico 1 incrementará o fator de divisão fornecido por UxBR. O tempo necessário para a existência de um start bit é determinada pelo valor de UxBR vezes m0, o próximo bit é determinado por UxBR vezes m1, e assim por diante, até que todos os bits tenham sido transmitidos. A modulação sempre inicia pelo LSB. Caso o caractere a ser transmitido seja maior que os 8 bits do padrão de transmissão, o modulador irá reiniciar a sequência com o valor de m0 e continuará até que todos os bits tenham sido processados. Página 162

29 Determinando o valor da Modulação Determinar o valor da modulação é um processo interativo. Utilizando a fórmula de erro mostrada no próximo item deste capítulo inicia-se pelo primeiro bit, calculando o erro individual de cada bit, para os ajustes do bit de modulação em 0 e em 1. Em um dos dois casos será obtido o menor valor de erro. Este será o valor ajustado para o próximo bit, onde deve-se, novamente, testar os dois estados. Este processo se repete até que todos os bits sejam testados e se obtem um valor do modulador cujo erro seja o menor possível Temporização dos bits de transmissão A temporização necessária para transmissão de um caractere deve ser o resultado da soma dos tempos de transmissão de cada bit individualmente. Como o MSP430 modula cada bit individualmente, o erro acumulativo no caractere inteiro é reduzido. O erro individual de cada bit pode ser calculado através da seguinte expressão: Onde: Baud Rate: velocidade de transmissão desejada; BRCLK: valor da freqüência de entrada no gerador de Baud Rate, que pode ser fornecida por diversas fontes: UCLKI, ACLK ou SMCLK; j: posicionamento de cada bit dentro de um caractere transmitido ou recebido, onde j = 0 para o start bit, j = 1 para o bit D0, e assim por diante; UxBR: 16 bits resultantes dos registradores UxBR0 e UxBR1; Vamos a um exemplo. Queremos descobrir quais são os valores de erro transmissão para cada bit nas seguintes condições: Baud Rate: 2400; BRCLK: Hz (ACLK); UxBR: 13. O valor ideal seria 13, (N = BRCLK / Baud Rate). UxMCTL: 0x06Bh: o m7=0, m6=1, m5=1, m4=0, m3=1, m2=0, m1=1, and m0=1; o O LSB no UxMCTL é utilizado primeiramente. Página 163

30 Fazendo os cálculos: Isto significa que o máximo erro que existirá em um bit deste caractere será de 5,08% Temporização dos bits de recepção A temporização na recepção tem duas fontes de erro. A primeira é o tempo de bit a bit, como acontece na transmissão. A segunda é o erro entre uma borda de início de recepção ocorrer e o tempo necessário para que a máquina entenda que isto aconteceu e comece a processar os dados de entrada. Estes dois erros podem ser melhor visualizados através do mapa de tempos mostrados na figura a seguir. Página 164

31 A temporização ideal para início do start bit é a metade da temporização de baud rate, porque cada bit sempre é testado na metade deste período. Assim, a temporização ideal para todos os bits restantes deve seguir a mesma lógica. O erro obtido durante este ajuste pode ser obtido através da equação abaixo: Onde: Baud Rate: velocidade de recepção desejada; BRCLK: valor da freqüência de entrada no gerador de Baud Rate, que pode ser fornecida por diversas fontes: UCLKI, ACLK ou SMCLK; j: posicionamento de cada bit dentro de um caractere transmitido ou recebido, onde j = 0 para o start bit, j = 1 para o bit D0, e assim por diante; UxBR: 16 bits resultantes dos registradores UxBR0 e UxBR1; Vamos fazer os cálculo para o mesmo exemplo utilizado na transmissão, mas agora ajsutando a máquina para a recepção: Baud Rate: 2400; BRCLK: Hz (ACLK); UxBR: 13. O valor ideal seria 13, (N = BRCLK / Baud Rate). UxMCTL: 0x06Bh: o m7=0, m6=1, m5=1, m4=0, m3=1, m2=0, m1=1, and m0=1; o O LSB no UxMCTL é utilizado primeiramente. Página 165

32 Bit a bit: Isto significa que o máximo erro que existirá em um bit deste caractere será de 5,08% Taxas típicas de transmissão e seus respectivos erros A tabela mostrada a seguir foi calculada para um sistema com ACLK de Hz e SMCLK de Hz. Página 166

33 Interrupções da USART. A USART possui vetores de interrupção separados para TX e RX. Isto permite que os dois tipos de interrupção ocorram separadamente Interrupção numa operação de TX A flag de interrupção de transmissão UTXIFGx é setada pelo transmissor para indicar que o buffer UxTXBUF está pronto para aceitar mais um caractere a ser transmitido. Para que isto ocorra é necessário que os bits GIE e UTXIEx estejam em nível lógico 1. A flag de interrupção é automaticamente apagada quando o programa entra na rotina de tratamento de interrupção ou quando um novo caractere é gravado no registrador UxTXBUF. UTXIFGx é setada após um PUC ou quando SWRST = 1. UTXIEx é resetado após um PUC ou quando SWRST = 1. O hardware que garante a ocorrência destas condições é mostrado na figura abaixo. Página 167

34 Interrupção numa operação de RX A flag de interrupção de recepção URXIFGx é setada pelo receptor quando um caractere é recebido e gravado com sucesso no buffer UxRXBUF. Para que isto ocorra é necessário que os bits GIE e URXIEx estejam em nível lógico 1. A flag de interrupção é automaticamente apagada quando o programa entra na rotina de tratamento de interrupção ou quando o registrador UxRXBUF é lido. URXIFGx e URXIEx são automaticamente resetadas após um PUC ou quando SWRST = 1. é após um PUC ou quando SWRST = 1. O hardware que garante a ocorrência destas condições é mostrado na figura abaixo Os principais registradores de controle da USART. Página 168

35 Página 169

36 Página 170

37 Dois códigos de exemplo de configuração e uso da USART EXEMPLO-35 msp430xg46x_usart1_19200.c //***************************************************************************** // MSP430xG46x Demo - USART1, Ultra-Low Pwr UART Echo ISR, 32kHz ACLK // // Description: Echo a received character, USART1 RX ISR at high-speed used // with ultra-low power techniques. Normal operation in LPM3, // On valid RX character, character echoed back. Use start-bit // edge detect - URXSE - to automatically (re)enable DCO and trigger ISR. ISR // must make sure DCO clock source remains enabled for the UART to receive // full character. // Software needs to make sure a character has been completely TX'ed, or RX'ed // before entering LPM3, which disables DCO required for the USART baud rate // generator. In the example, TX'ing is checked using the TXEPT bit directly. // RX'ing is checked using the SSEL0 clock select bit as a flag. This is // possible because UCLK1 = SMCLK when either both SSEL1 and SSEL0 or just // SSEL1 = 1. In the example, when SSEL1 = SSEL0 = 1 there is no RX'ing, and // LPM3 is allowed. When SSEL 1 = 1 and SSEL0 = 0 SMCLK is selected, but // RX'ing is active and the DCO is required, thus LPM3 is not allowed. // ACLK = LFXT1/8 = 32768/8, MCLK = SMCLK = UCLK1 = DCOCLK = // Baud rate divider with hz= Hz/19200 ~ 55 (0036h) // //* An external 32kHz watch crystal on XIN XOUT is required for ACLK *// // // // MSP430FG4619 // // / \ XIN - // 32768Hz // -- RST XOUT - // // P > // N1 // 43.1 < // // // K. Quiring/ M. Mitchell // Texas Instruments Inc. // October 2006 // Built with IAR Embedded Workbench Version: 3.41A //***************************************************************************** #include <msp430xg46x.h> void main(void) { volatile unsigned int i; WDTCTL = WDTPW + WDTHOLD; P4SEL = 0x03; FLL_CTL0 = XCAP14PF; do { IFG1 &= ~OFIFG; for (i = 0x47FF; i > 0; i--); } while ((IFG1 & OFIFG)); // Stop WDT // P4.1,0 = USART1 TXD/RXD // Configure load caps // Clear OSCFault flag // Time for flag to set // OSCFault flag still set? ME2 = UTXE1 + URXE1; // Enable USART1 TXD/RXD U1CTL = CHAR; // 8-bit character U1TCTL = SSEL1 + SSEL0 + URXSE; // UCLK = SMCLK, start edge detect U1BR0 = 0x36; // 1MHz U1BR1 = 0x00; // 1MHz U1MCTL = 0x6B; // 1MHz modulation U1CTL &= ~SWRST; // Initialize USART state machine IE2 = URXIE1; // Enable USART1 RX interrupt Página 171

38 for (;;) { while (!(U1TCTL & TXEPT)); _DINT(); _NOP(); if (!(U1TCTL & SSEL0)) _BIS_SR(LPM0_bits + GIE); else _BIS_SR(LPM3_bits + GIE); } } #pragma vector=usart1rx_vector interrupt void usart1_rx (void) { if ((IFG2 & URXIFG1)) { while (!(IFG2 & UTXIFG1)); U1TXBUF = U1RXBUF; _BIC_SR_IRQ(LPM3_bits); U1TCTL = SSEL0; } else { U1TCTL &= ~URXSE; U1TCTL = URXSE; _BIC_SR_IRQ(SCG1 + SCG0); U1TCTL &= ~SSEL0; } } // Confirm no TXing before --> LPM3 // Disable interrupts for flag test // RX'ing char, LPM, int's active // Enter LPM3, int's active // Test URXIFG0 // USART1 TX buffer ready? // RXBUF0 to TXBUF0 // Exit LPM3 after reti // SSEL0 = 1, no RX activity // Start edge // Clear URXS signal // Re-enable edge detect // DCO reamins on after reti // SSEL0= 0, RX activity EXEMPLO-36 msp430xg46x_usart1_uart_115k.c //****************************************************************************** // MSP430xG46x Demo - USART1, UART Echo ISR, DCO SMCLK // // Description: Echo a received character, RX ISR used. Normal mode is LPM0. // USART1 RX interrupt triggers TX Echo. // Baud rate divider with hz = / = ~9.1 (009h 08h) // ACLK = LFXT1 = 32768Hz, MCLK = SMCLK = default DCO = 32 x ACLK = Hz // //* An external watch crystal between XIN & XOUT is required for ACLK *// // // MSP430FG4619 // // / \ XIN - // 32kHz // -- RST XOUT - // // P4.0/UTXD > // N1 // P4.1/URXD1 < // // K. Quiring // Texas Instruments Inc. // October 2005 // Built with IAR Embedded Workbench Version: 3.39 beta //****************************************************************************** #include <msp430xg46x.h> void main(void) { volatile unsigned int i; WDTCTL = WDTPW + WDTHOLD; FLL_CTL0 = XCAP14PF; P4SEL = 0x03; do { IFG1 &= ~OFIFG; for (i = 0x47FF; i > 0; i--); } while ((IFG1 & OFIFG)); // Stop WDT // Configure load caps // P4.1,0 = USART1 TXD/RXD // Clear OSCFault flag // Time for flag to set // OSCFault flag still set? Página 172

39 ME2 = UTXE1 + URXE1; // Enable USART1 TXD/RXD U1CTL = CHAR; // 8-bit character U1TCTL = SSEL1; // UCLK= ACLK U1BR0 = 0x09; // 1MHz U1BR1 = 0x00; // 1MHz U1MCTL = 0x08; // 1MHz modulation U1CTL &= ~SWRST; // Initialize USART state machine IE2 = URXIE1; // Enable USART1 RX interrupt } _BIS_SR(LPM0_bits + GIE); // Enter LPM0 w/ interrupt #pragma vector=usart1rx_vector interrupt void USART1_rx (void) { while (!(IFG2 & UTXIFG1)); TXBUF1 = RXBUF1; } // USART1 TX buffer ready? // RXBUF1 to TXBUF1 27. EXERCÍCIOS: Comunicação da EXPBoard via USCI Modo UART EXERCÍCIO-18 Aproveitando os exemplos EXEMPLO-35 e EXEMPLO-36 escreva um programa que faça um eco (repetição) o que é digitado através do teclado do PC. Para tanto a velocidade de funcionamento da porta serial deve ser ajustada para um Baud Rate de Utilize o programa Hiperterminal do Windows para estabelecer a conexão com a Experimenter Board EXERCÍCIO-19 Escreva um programa que faça uma calculadora básica, que trabalhe com as quatro operações matemáticas elementares: soma, subtração, multiplicação e divisão. Ao ligar a Experimenter Board, conectá-la ao Hiperterminal do Windows, deve aparecer a seguinte mensagem: DIGITE O PRIMEIRO NÚMERO: O usuário, através do teclado do computador, digita um valor inteiro entre 0 e e tecla ENTER. Este valor deve ser transmitido via porta serial ao MSP430, que responde no Hiperterminal: DIGITE O SEGUNDO NÚMERO: O usuário, através do teclado do computador, digita um outro inteiro entre 0 e e tecla ENTER. Este valor deve ser transmitido via porta serial ao MSP430, que responde no Hiperterminal: DIGITE A OPERAÇÃO A SER REALIZADA: Página 173

40 O usuário, através do teclado do computador, digita uma das quatro operações possíveis ( +, -, * ou /) e tecla ENTER. Este valor deve ser transmitido via porta serial ao MSP430, que responde no Hiperterminal com o resultado do cálculo: O RESULTADO É: XXXXXX Onde XXXXX é o resultado do cálculo. Finalmente o programa deve retornar ao início, solicitando novamente a entrada do primeiro número. O programa deve ficar o maior tempo possível em LPM e sair apenas para interagir com o usuário, realizar os cálculos e apresentar os resultados. Página 174

PROGRAMAÇÃO DO TREINAMENTO:

PROGRAMAÇÃO DO TREINAMENTO: PROGRAMAÇÃO DO TREINAMENTO: Página 2 0. Objetivos deste treinamento Quem está fazendo este módulo avançado II é porque já passou pelos módulos anteriores. Portanto não serão abordados aqui os tópicos já

Leia mais

Porta Série. Trabalhos Práticos AM 2007/2008. Porta Série. Objectivos

Porta Série. Trabalhos Práticos AM 2007/2008. Porta Série. Objectivos 3 Objectivos - Configurar os parâmetros associados à comunicação série assíncrona. - Saber implementar um mecanismo de menus para efectuar a entrada e saída de dados, utilizando como interface um terminal

Leia mais

Comunicação de dados. Introdução

Comunicação de dados. Introdução Comunicação de dados Introdução Os microcontroladores AVR estão equipados com dois pinos destinados à comunicação serial, UART (Universal Asynchronous Reciever/Transmitter), ou seja, permitem trocar informações

Leia mais

Manual Técnico Interface Modbus

Manual Técnico Interface Modbus Manual Técnico Interface Modbus RTA Interface Modbus 05/2007-1 - Histórico de Revisões Ver. Num. Data Responsável Observações 1.000 17/05/07 Marcos Romano Criação Inicial RTA Rede de Tecnologia Avançada

Leia mais

5 Entrada e Saída de Dados:

5 Entrada e Saída de Dados: 5 Entrada e Saída de Dados: 5.1 - Arquitetura de Entrada e Saída: O sistema de entrada e saída de dados é o responsável pela ligação do sistema computacional com o mundo externo. Através de dispositivos

Leia mais

CAPÍTULO 2 CARACTERÍSTICAS DE E/S E PORTA PARALELA

CAPÍTULO 2 CARACTERÍSTICAS DE E/S E PORTA PARALELA 8 CAPÍTULO 2 CARACTERÍSTICAS DE E/S E PORTA PARALELA A porta paralela, também conhecida por printer port ou Centronics e a porta serial (RS-232) são interfaces bastante comuns que, apesar de estarem praticamente

Leia mais

MÓDULO 7 Modelo OSI. 7.1 Serviços Versus Protocolos

MÓDULO 7 Modelo OSI. 7.1 Serviços Versus Protocolos MÓDULO 7 Modelo OSI A maioria das redes são organizadas como pilhas ou níveis de camadas, umas sobre as outras, sendo feito com o intuito de reduzir a complexidade do projeto da rede. O objetivo de cada

Leia mais

Placa Acessório Modem Impacta

Placa Acessório Modem Impacta manual do usuário Placa Acessório Modem Impacta Parabéns, você acaba de adquirir um produto com a qualidade e segurança Intelbras. A Placa Modem é um acessório que poderá ser utilizado em todas as centrais

Leia mais

CAPÍTULO 5. INTERFACES PARA PERIFÉRICOS DE ARMAZENAMENTO INTERFACES DIVERSAS: FIREWIRE, SPI e I 2 C INTERFACES COM O MUNDO ANALÓGICO

CAPÍTULO 5. INTERFACES PARA PERIFÉRICOS DE ARMAZENAMENTO INTERFACES DIVERSAS: FIREWIRE, SPI e I 2 C INTERFACES COM O MUNDO ANALÓGICO 28 CAPÍTULO 5 INTERFACES PARA PERIFÉRICOS DE ARMAZENAMENTO INTERFACES DIVERSAS: FIREWIRE, SPI e I 2 C INTERFACES COM O MUNDO ANALÓGICO Interfaces para periféricos de armazenamento: Periféricos de armazenamento,

Leia mais

Quadro de consulta (solicitação do mestre)

Quadro de consulta (solicitação do mestre) Introdução ao protocolo MODBUS padrão RTU O Protocolo MODBUS foi criado no final dos anos 70 para comunicação entre controladores da MODICON. Por ser um dos primeiros protocolos com especificação aberta

Leia mais

ULA Sinais de Controle enviados pela UC

ULA Sinais de Controle enviados pela UC Solução - Exercícios Processadores 1- Qual as funções da Unidade Aritmética e Lógica (ULA)? A ULA é o dispositivo da CPU que executa operações tais como: Adição Subtração Multiplicação Divisão Incremento

Leia mais

Guia de Cabeamento para Portas de Console e AUX

Guia de Cabeamento para Portas de Console e AUX Guia de Cabeamento para Portas de Console e AUX Índice Introdução Pré-requisitos Requisitos Componentes Utilizados Convenções Tabela de Roteadores com Portas de Console e AUX Configurações da Porta de

Leia mais

Comunicação Serial. Comunicação Serial RS232

Comunicação Serial. Comunicação Serial RS232 Comunicação Serial Motivação Diversos dispositivos eletrônicos usam portas seriais para se comunicar com outros dispositivos eletrônicos. Para muitos é a única forma de comunicação. A integração de equipamentos

Leia mais

FAÇA FÁCIL: DRIVER IGS PARA COMUNICAÇÃO DE PROTOCOLOS PROPRIETÁRIOS INTRODUÇÃO

FAÇA FÁCIL: DRIVER IGS PARA COMUNICAÇÃO DE PROTOCOLOS PROPRIETÁRIOS INTRODUÇÃO FAÇA FÁCIL: DRIVER IGS PARA COMUNICAÇÃO DE PROTOCOLOS PROPRIETÁRIOS INTRODUÇÃO O Driver IGS possui um módulo de configuração que possibilita a comunicação com protocolos proprietários. Trata-se do Driver

Leia mais

A idéia hardware sugerida é colocar a placa entre o PC e o microcontrolador, conforme mostrado no esquema abaixo.

A idéia hardware sugerida é colocar a placa entre o PC e o microcontrolador, conforme mostrado no esquema abaixo. Circuito de gravação (AVR programmer) Introdução Nossa proposta, nesta parte do trabalho, é apresentar um circuito para gravação ISP (In-System- Programming) para microcontroladores AVR. Este circuito,

Leia mais

Monitor de Rede Elétrica Som Maior Pro. Manual do Usuário Versão 3.9f

Monitor de Rede Elétrica Som Maior Pro. Manual do Usuário Versão 3.9f Monitor de Rede Elétrica Som Maior Pro Manual do Usuário Versão 3.9f 2 ÍNDICE PÁG. 1 APRESENTAÇÃO...03 2 DESCRIÇÃO DO EQUIPAMENTO...04 2.1 ROTINA INICIAL DE AVALIAÇÃO DA REDE ELÉTRICA...04 2.2 TROCA DE

Leia mais

RCO2. Introdução à camada física

RCO2. Introdução à camada física RCO2 Introdução à camada física 1 Transmissão de uma stream de bits por um meio de transmissão 2 Atribuições da camada física: Transmissão de sequências de bits pelo meio físico Modulação (transmissão

Leia mais

COMUNICAÇÃO SERIAL ENTRE EQUIPAMENTOS

COMUNICAÇÃO SERIAL ENTRE EQUIPAMENTOS COMUNICAÇÃO SERIAL ENTRE EQUIPAMENTOS 1 Introdução Para transmitir ou receber informações de outros dispositivos é necessário que os sistemas automáticos sejam capazes de comunicarem-se com estes dispositivos.

Leia mais

Capítulo 3 - Trabalhando com circuitos digitais

Capítulo 3 - Trabalhando com circuitos digitais Prof. Alan Petrônio Pinheiro Apostila de MultiSim 17 Capítulo 3 - Trabalhando com circuitos digitais O primeiro passo para se trabalhar com circuitos digitais é inserir portas lógicas. Para isto, dispomos

Leia mais

Tais operações podem utilizar um (operações unárias) ou dois (operações binárias) valores.

Tais operações podem utilizar um (operações unárias) ou dois (operações binárias) valores. Tais operações podem utilizar um (operações unárias) ou dois (operações binárias) valores. 7.3.1.2 Registradores: São pequenas unidades de memória, implementadas na CPU, com as seguintes características:

Leia mais

Arquitetura de Computadores. Tipos de Instruções

Arquitetura de Computadores. Tipos de Instruções Arquitetura de Computadores Tipos de Instruções Tipos de instruções Instruções de movimento de dados Operações diádicas Operações monádicas Instruções de comparação e desvio condicional Instruções de chamada

Leia mais

1.3 Conectando a rede de alimentação das válvulas solenóides

1.3 Conectando a rede de alimentação das válvulas solenóides 1.3 Conectando a rede de alimentação das válvulas solenóides CONTROLE DE FLUSHING AUTOMÁTICO LCF 12 Modo Periódico e Horário www.lubing.com.br (19) 3583-6929 DESCALVADO SP 1. Instalação O equipamento deve

Leia mais

www.vwsolucoes.com Copyright 2013 VW Soluções

www.vwsolucoes.com Copyright 2013 VW Soluções 1 1. Especificação técnicas: Dimensões do módulo 4EA2SA v1.0: 100 mm x 56 mm Peso aproximado: xxx gramas (montada). Alimentação do circuito : 12 ou 24Vcc Tipo de comunicação: RS232 ou RS485 Tensão de referencia:

Leia mais

Arquitetura de Rede de Computadores

Arquitetura de Rede de Computadores TCP/IP Roteamento Arquitetura de Rede de Prof. Pedro Neto Aracaju Sergipe - 2011 Ementa da Disciplina 4. Roteamento i. Máscara de Rede ii. Sub-Redes iii. Números Binários e Máscara de Sub-Rede iv. O Roteador

Leia mais

ARQUITETURA DE COMPUTADORES - 1866

ARQUITETURA DE COMPUTADORES - 1866 7 Unidade Central de Processamento (UCP): O processador é o componente vital do sistema de computação, responsável pela realização das operações de processamento e de controle, durante a execução de um

Leia mais

WWW.cerne-tec.com.br. Comunicação USB com o PIC Vitor Amadeu Souza Parte II vitor@cerne-tec.com.br

WWW.cerne-tec.com.br. Comunicação USB com o PIC Vitor Amadeu Souza Parte II vitor@cerne-tec.com.br 1 Comunicação USB com o PIC Vitor Amadeu Souza Parte II vitor@cerne-tec.com.br Continuando com o artigo apresentado na edição passada de comunicação USB com o PIC, continuaremos nesta edição o estudo do

Leia mais

Tarifação ON-LINE Sistema de Tarifação Telefônica V. 6.0 GUIA DE INSTALAÇÃO COLETOR

Tarifação ON-LINE Sistema de Tarifação Telefônica V. 6.0 GUIA DE INSTALAÇÃO COLETOR Tarifação ON-LINE Sistema de Tarifação Telefônica V. 6.0 GUIA DE INSTALAÇÃO COLETOR Net Manager Informática Ltda Versão 6.0 1998-2007 1) Instalação do Software Coletor Guia de Instalação Executar o programa

Leia mais

MINISTÉRIO DA EDUCAÇÃO IFSC - Campus São José. CST em Telecomunicações ELETRÔNICA DIGITAL CONTADORES. Marcos Moecke

MINISTÉRIO DA EDUCAÇÃO IFSC - Campus São José. CST em Telecomunicações ELETRÔNICA DIGITAL CONTADORES. Marcos Moecke MINISTÉRIO DA EDUCAÇÃO IFSC - Campus São José CST em Telecomunicações ELETRÔNICA DIGITAL CONTADORES Marcos Moecke São José - SC, 29 SUMÁRIO 5. CONTADORES... 5. CONTADORES ASSÍNCRONOS 5. C 5.2 C ASSÍNCRONOS......

Leia mais

Organização Básica do Computador

Organização Básica do Computador Organização Básica do Computador Modelo de Von Neumann MEMÓRIA ENTRADA ARITMÉTICA LÓGICA SAÍDA CONTROLE Modelo de Von Neumann Introduziu o conceito do computador controlado por programa armazenado. Todo

Leia mais

NETALARM GATEWAY Manual Usuário

NETALARM GATEWAY Manual Usuário NETALARM GATEWAY Manual Usuário 1 Índice 1. Introdução... 3 2. Requisitos de Instalação... 3 3. Instalação... 3 4. Iniciando o programa... 5 4.1. Aba Serial... 5 4.2. Aba TCP... 6 4.3. Aba Protocolo...

Leia mais

Sistemas Operacionais

Sistemas Operacionais Sistemas Operacionais Aula 5 Estrutura de Sistemas de Computação Prof.: Edilberto M. Silva http://www.edilms.eti.br Baseado no material disponibilizado por: SO - Prof. Edilberto Silva Prof. José Juan Espantoso

Leia mais

Comunicação Serial com o AVR ATMEGA8

Comunicação Serial com o AVR ATMEGA8 Comunicação Serial com o AVR ATMEGA8 Vitor Amadeu Souza vitor@cerne-tec.com.br Introdução Os microcontroladores AVR vem a cada dia tomando cada vez mais espaço nos novos projetos eletrônicos microcontrolados.

Leia mais

Tarifação ON-LINE Sistema de Tarifação Telefônica V. 6.0 GUIA DE INSTALAÇÃO COLETOR

Tarifação ON-LINE Sistema de Tarifação Telefônica V. 6.0 GUIA DE INSTALAÇÃO COLETOR Tarifação ON-LINE Sistema de Tarifação Telefônica V. 6.0 GUIA DE INSTALAÇÃO COLETOR Net Manager Informática Ltda Versão 6.0 1998-2007 Guia de Instalação 1) Instalação do Software Coletor Executar o programa

Leia mais

Geral: Manual de Utilização do Software de Teste Gradual Windows

Geral: Manual de Utilização do Software de Teste Gradual Windows Manual de Utilização do Software de Teste Gradual Windows Geral: Este aplicativo é utilizado para testar os Microterminais Gradual Tecnologia Ltda. Para tanto deve ter as Dll s necessárias para controlar

Leia mais

Entrada e Saída. Prof. Leonardo Barreto Campos 1

Entrada e Saída. Prof. Leonardo Barreto Campos 1 Entrada e Saída Prof. Leonardo Barreto Campos 1 Sumário Introdução; Dispositivos Externos; E/S Programada; E/S Dirigida por Interrupção; Acesso Direto à Memória; Bibliografia. Prof. Leonardo Barreto Campos

Leia mais

Na primeira aula, conhecemos um pouco sobre o projeto Arduino, sua família de placas, os Shields e diversos exemplos de aplicações.

Na primeira aula, conhecemos um pouco sobre o projeto Arduino, sua família de placas, os Shields e diversos exemplos de aplicações. Na primeira aula, conhecemos um pouco sobre o projeto Arduino, sua família de placas, os Shields e diversos exemplos de aplicações. A partir de agora, iremos conhecer o hardware do Arduino e suas caracteristicas

Leia mais

Tarifação ON-LINE Sistema de Tarifação Telefônica V. 6.0 GUIA DE INSTALAÇÃO COLETOR

Tarifação ON-LINE Sistema de Tarifação Telefônica V. 6.0 GUIA DE INSTALAÇÃO COLETOR Tarifação ON-LINE Sistema de Tarifação Telefônica V. 6.0 GUIA DE INSTALAÇÃO COLETOR Net Manager Informática Ltda Versão 6.0 1998-2007 1) Instalação do Software Coletor Guia de Instalação Executar o programa

Leia mais

Conceitos Básicos de Telefonia Celular

Conceitos Básicos de Telefonia Celular O curso foi elaborado especialmente para atender o profissional que atua no mercado varejista de aparelhos celulares e quer atender seus clientes com rapidez e qualidade. O treinamento é direcionado ao

Leia mais

Display de 7. PdP. Autor: Tiago Lone Nível: Básico Criação: 16/12/2005 Última versão: 18/12/2006. Pesquisa e Desenvolvimento de Produtos

Display de 7. PdP. Autor: Tiago Lone Nível: Básico Criação: 16/12/2005 Última versão: 18/12/2006. Pesquisa e Desenvolvimento de Produtos TUTORIAL Display de 7 Segmentos Autor: Tiago Lone Nível: Básico Criação: 16/12/2005 Última versão: 18/12/2006 PdP Pesquisa e Desenvolvimento de Produtos http://www.maxwellbohr.com.br contato@maxwellbohr.com.br

Leia mais

ABB Automação. 13.82kV 119.4kA. 13.81kV 121.3kA. 13.85kV 120.2kA IDR 144 INDICADOR DIGITAL REMOTO MODO DE USO

ABB Automação. 13.82kV 119.4kA. 13.81kV 121.3kA. 13.85kV 120.2kA IDR 144 INDICADOR DIGITAL REMOTO MODO DE USO IDR 144 INDICADOR DIGITAL REMOTO MODO DE USO 13.82kV 119.4kA 13.81kV 121.3kA 13.85kV 120.2kA Dados Técnicos Conexões elétricas Utilização do teclado Protocolo de comunicação Modbus RTU Dimensional ABB

Leia mais

Informática I. Aula 4. http://www.ic.uff.br/~bianca/informatica1/ Aula 4-11/09/2006 1

Informática I. Aula 4. http://www.ic.uff.br/~bianca/informatica1/ Aula 4-11/09/2006 1 Informática I Aula 4 http://www.ic.uff.br/~bianca/informatica1/ Aula 4-11/09/2006 1 Ementa Histórico dos Computadores Noções de Hardware e Software Microprocessadores Sistemas Numéricos e Representação

Leia mais

Unidade Remota CANopen RUW-04. Guia de Instalação, Configuração e Operação. Idioma: Português. Documento: 10002081798 / 00

Unidade Remota CANopen RUW-04. Guia de Instalação, Configuração e Operação. Idioma: Português. Documento: 10002081798 / 00 Motors Automation Energy Transmission & Distribution Coatings Unidade Remota CANopen RUW-04 Guia de Instalação, Configuração e Operação Idioma: Português Documento: 10002081798 / 00 ÍNDICE 1 INSTRUÇÕES

Leia mais

Desenvolvimento de Módulo Wireless para Acionamento de Cargas via Porta Paralela

Desenvolvimento de Módulo Wireless para Acionamento de Cargas via Porta Paralela Desenvolvimento de Módulo Wireless para Acionamento de Cargas via Porta Paralela Pedro H. M. Araújo 1, Renan P. Figueiredo 1, Douglas L. Dias 1, Sandro C. S. Jucá 1 1 Área da Telemática Instituto Federal

Leia mais

Serial Paralela USB FireWire(IEEE1394)

Serial Paralela USB FireWire(IEEE1394) Serial Paralela USB FireWire(IEEE1394) histórico Tudo começou em 1980 quando a IBM estava desenvolvendo seu primeiro micro PC. Já haviam definido que o barramento ISA seria usado para permitir que o IBM

Leia mais

Vitor Amadeu Souza. vitor@cerne-tec.com.br

Vitor Amadeu Souza. vitor@cerne-tec.com.br Introdução Vitor Amadeu Souza vitor@cerne-tec.com.br Veremos agora os passos para enviar uma string de caracteres pela USB. Porém antes veremos um tutorial referente a porta USB. Tutorial USB Sistema de

Leia mais

Um Driver NDIS Para Interceptação de Datagramas IP

Um Driver NDIS Para Interceptação de Datagramas IP Um Driver NDIS Para Interceptação de Datagramas IP Paulo Fernando da Silva psilva@senior.com.br Sérgio Stringari stringari@furb.br Resumo. Este artigo apresenta o desenvolvimento de um driver NDIS 1 para

Leia mais

CAPÍTULO 5 CONTADORES NA FORMA DE CIRCUITO INTEGRADO

CAPÍTULO 5 CONTADORES NA FORMA DE CIRCUITO INTEGRADO 1 CAPÍTULO 5 CONTADORES NA FORMA DE CIRCUITO INTEGRADO INTRODUÇÃO Devido a necessidade geral de contadores, já existem muitos contadores de forma de CI's. Na série TTL 74 os mais simples são o 74LS90,

Leia mais

4 Arquitetura básica de um analisador de elementos de redes

4 Arquitetura básica de um analisador de elementos de redes 4 Arquitetura básica de um analisador de elementos de redes Neste capítulo é apresentado o desenvolvimento de um dispositivo analisador de redes e de elementos de redes, utilizando tecnologia FPGA. Conforme

Leia mais

INSTALAÇÃO MICROTERMINAL FIT BASIC BEMATECH

INSTALAÇÃO MICROTERMINAL FIT BASIC BEMATECH INSTALAÇÃO MICROTERMINAL FIT BASIC BEMATECH Objetivo Este documento tem como finalidade descrever o processo de instalação e configuração do Microterminal Fit Basic - Bematech. Instalação do Microterminal

Leia mais

Arquitetura e Organização de Computadores I

Arquitetura e Organização de Computadores I Arquitetura e Organização de Computadores I Interrupções e Estrutura de Interconexão Prof. Material adaptado e traduzido de: STALLINGS, William. Arquitetura e Organização de Computadores. 5ª edição Interrupções

Leia mais

Estruturas do Sistema de Computação

Estruturas do Sistema de Computação Estruturas do Sistema de Computação Prof. Dr. José Luís Zem Prof. Dr. Renato Kraide Soffner Prof. Ms. Rossano Pablo Pinto Faculdade de Tecnologia de Americana Centro Paula Souza Estruturas do Sistema de

Leia mais

PROGRAMAÇÃO EM LINGUAGEM LADDER LINGUAGEM DE RELÉS

PROGRAMAÇÃO EM LINGUAGEM LADDER LINGUAGEM DE RELÉS 1 PROGRAMAÇÃO EM LINGUAGEM LADDER LINGUAGEM DE RELÉS INTRODUÇÃO O processamento interno do CLP é digital e pode-se, assim, aplicar os conceitos de lógica digital para compreen8 der as técnicas e as linguagens

Leia mais

MANUAL ZEDIT 32 Índice:

MANUAL ZEDIT 32 Índice: MANUAL ZEDIT 32 Índice: Configuração Painel -------------------------------------------------------------------- 02 à 04 Criar Novo modelo Painel -------------------------------------------------------------

Leia mais

Capítulo VIII Registradores de Deslocamento e Contadores

Capítulo VIII Registradores de Deslocamento e Contadores Capítulo VIII Registradores de Deslocamento e Contadores 1 Introdução Vimos no capítulo anterior que flip-flops são dispositivos capazes de memorizar o seu estado (SET ou RESET). Neste capítulo estudaremos

Leia mais

MANUAL DE INSTALAÇÃO E PROGRAMAÇÃO CONVERSOR - IP / USB / SERIAL - 317 RV1

MANUAL DE INSTALAÇÃO E PROGRAMAÇÃO CONVERSOR - IP / USB / SERIAL - 317 RV1 MANUAL DE INSTALAÇÃO E PROGRAMAÇÃO CONVERSOR - IP / USB / SERIAL - 317 RV1 SÃO CAETANO DO SUL 06/06/2014 SUMÁRIO Descrição do Produto... 3 Características... 3 Configuração USB... 4 Configuração... 5 Página

Leia mais

CDE4000 MANUAL 1. INTRODUÇÃO 2. SOFTWARE DE CONFIGURAÇÃO 3. COMUNICAÇÃO

CDE4000 MANUAL 1. INTRODUÇÃO 2. SOFTWARE DE CONFIGURAÇÃO 3. COMUNICAÇÃO CDE4000 MANUAL 1. INTRODUÇÃO O controlador CDE4000 é um equipamento para controle de demanda e fator de potência. Este controle é feito em sincronismo com a medição da concessionária, através dos dados

Leia mais

Leitor MaxProx-Lista-PC

Leitor MaxProx-Lista-PC Leitor MaxProx-Lista-PC O leitor de cartões de proximidade MaxProx-Lista-PC é destinado aos Integradores de Controle de Acesso. Ele foi especialmente projetado para controle de acesso, para ser usado Stand

Leia mais

3. Arquitetura Básica do Computador

3. Arquitetura Básica do Computador 3. Arquitetura Básica do Computador 3.1. Modelo de Von Neumann Dar-me-eis um grão de trigo pela primeira casa do tabuleiro; dois pela segunda, quatro pela terceira, oito pela quarta, e assim dobrando sucessivamente,

Leia mais

LINEAR EQUIPAMENTOS RUA SÃO JORGE, 267/269 - TELEFONE: (11) 2823-8800 SÃO CAETANO DO SUL - SP - CEP: 09530-250

LINEAR EQUIPAMENTOS RUA SÃO JORGE, 267/269 - TELEFONE: (11) 2823-8800 SÃO CAETANO DO SUL - SP - CEP: 09530-250 LINEAR EQUIPAMENTOS RUA SÃO JORGE, 267/269 - TELEFONE: (11) 2823-8800 SÃO CAETANO DO SUL - SP - CEP: 09530-250 1 SISTEMA HCS 2005 PROTOCOLO DE COMUNICAÇÃO (Baseado no Guarita Vr5.0x Última modificação:

Leia mais

CAPÍTULO 6 CIRCUITOS SEQUENCIAIS IV: PROJETO DE REDES SEQUENCIAIS

CAPÍTULO 6 CIRCUITOS SEQUENCIAIS IV: PROJETO DE REDES SEQUENCIAIS 92 CAPÍTULO 6 CIRCUITOS SEQUENCIAIS IV: PROJETO DE REDES SEQUENCIAIS Sumário 6.. Introdução... 94 6... Máquina de Estados de Moore... 94 6..2. Máquina de Estados de Mealy... 95 6.2. Projeto de Redes Sequenciais...

Leia mais

LINEAR EQUIPAMENTOS RUA SÃO JORGE, 269 - TELEFONE: 6823-8800 SÃO CAETANO DO SUL - SP - CEP 09530-250

LINEAR EQUIPAMENTOS RUA SÃO JORGE, 269 - TELEFONE: 6823-8800 SÃO CAETANO DO SUL - SP - CEP 09530-250 LINEAR EQUIPAMENTOS RUA SÃO JORGE, 269 - TELEFONE: 6823-8800 SÃO CAETANO DO SUL - SP - CEP 09530-250 Recomendações Iniciais SOFTWARE HCS 2005 - VERSÃO 4.2 (Compatível com Guarita Vr4.03 e Vr4.04) Para

Leia mais

BARRAMENTO DO SISTEMA

BARRAMENTO DO SISTEMA BARRAMENTO DO SISTEMA Memória Principal Processador Barramento local Memória cachê/ ponte Barramento de sistema SCSI FireWire Dispositivo gráfico Controlador de vídeo Rede Local Barramento de alta velocidade

Leia mais

Entradas/Saídas. Programação por espera activa Programação por interrupções

Entradas/Saídas. Programação por espera activa Programação por interrupções Entradas/Saídas Programação por espera activa Programação por interrupções Programação por espera activa 1. O programa lê o estado do periférico: CPU pede ao controlador (IN) o valor no registo ESTADO

Leia mais

Central de Alarme de Oito Zonas

Central de Alarme de Oito Zonas Central de Alarme de Oito Zonas R02 ÍNDICE CARACTERÍSTICAS GERAIS:... 3 CARACTERÍSTICAS TÉCNICAS:... 3 CONHECENDO A CENTRAL:... 4 COMO A CENTRAL FUNCIONA:... 4 COMO APAGAR A MEMÓRIA DA CENTRAL:... 4 COMO

Leia mais

Protocolo TCP/IP. Neste caso cada computador da rede precisa de, pelo menos, dois parâmetros configurados:

Protocolo TCP/IP. Neste caso cada computador da rede precisa de, pelo menos, dois parâmetros configurados: Protocolo TCP/IP Neste caso cada computador da rede precisa de, pelo menos, dois parâmetros configurados: Número IP Máscara de sub-rede O Número IP é um número no seguinte formato: x.y.z.w Não podem existir

Leia mais

UDPcast Clonagem de HDs via rede utilizando

UDPcast Clonagem de HDs via rede utilizando Manual Clonando Discos com o UDPcast Clonagem de HDs via rede utilizando o UDPCast. Produzido por: Sergio Graças Giany Abreu Desenvolvedores do Projeto GNU/Linux VRlivre Manual Clonando Discos com o UDPcast

Leia mais

Capítulo. Comunicação de Dados Capítulo 9

Capítulo. Comunicação de Dados Capítulo 9 Capítulo 9 Capítulo Este capítulo descreve o que é preciso saber para transferir programas entre a fx-7400g PLUS e certos modelos de calculadoras científicas com função de gráficos CASIO ligados com um

Leia mais

MANUAL DO USUÁRIO. Software de Gerenciamento. Controlador de Fator de Potência. Self Control

MANUAL DO USUÁRIO. Software de Gerenciamento. Controlador de Fator de Potência. Self Control MANUAL DO USUÁRIO Software de Gerenciamento Controlador de Fator de Potência Self Control ÍNDICE 1.0 INTRODUÇÃO 4 1.1 Aplicações 4 2.0 CARACTERÍSTICAS 4 2.1 Compatibilidade 4 3.0 INSTALAÇÃO 4 4.0 INICIALIZAÇÃO

Leia mais

Matriz seletora VGA/WXGA & Controle RS-232. 8 x 8 TRANSCORTEC STATUS OUTPUT 2

Matriz seletora VGA/WXGA & Controle RS-232. 8 x 8 TRANSCORTEC STATUS OUTPUT 2 MX-88 Matriz seletora VGA/WXGA & Controle RS-232 8 x 8 TRANSCORTEC STATUS OUTPUT 2 1 3 4 5 6 7 8 INPUT AUDIO IN AUDIO OUT 1 2 3 4 5 6 7 8 1 2 3 4 5 6 7 8 AC IN VGA/WXGA OUT 1 VGA/WXGA OUT 2 VGA/WXGA OUT

Leia mais

Funções de Posicionamento para Controle de Eixos

Funções de Posicionamento para Controle de Eixos Funções de Posicionamento para Controle de Eixos Resumo Atualmente muitos Controladores Programáveis (CPs) classificados como de pequeno porte possuem, integrados em um único invólucro, uma densidade significativa

Leia mais

Universal Serial Bus USB

Universal Serial Bus USB Universal Serial Bus USB Walter Fetter Lages w.fetter@ieee.org Universidade Federal do Rio Grande do Sul Escola de Engenharia Departamento de Engenharia Elétrica Microprocessadores II Copyright (c) Walter

Leia mais

GUIA RÁPIDO DE INSTALÇÃO

GUIA RÁPIDO DE INSTALÇÃO 1 GUIA RÁPIDO DE INSTALÇÃO Light BILHETADOR Para Instalar o Software rode o tarifone.exe 2 clique em avançar para continuar com a instalação. Selecione uma nova pasta, ou clique em Avançar Para manter

Leia mais

Prática 8: Comunicação SPI. 8.1 Introdução e objetivos. 8.2 Princípios básicos do protocolo SPI

Prática 8: Comunicação SPI. 8.1 Introdução e objetivos. 8.2 Princípios básicos do protocolo SPI Prática 8: 8.1 Introdução e objetivos Comunicação SPI O protocolo SPI (serial peripheral interface) é um método de comunicação utilizado para interconectar dispositivos ou chips de tal forma que estes

Leia mais

Sistemas Computacionais II Professor Frederico Sauer

Sistemas Computacionais II Professor Frederico Sauer Sistemas Computacionais II Professor Frederico Sauer Livro-texto: Introdução à Organização de Computadores 4ª edição Mário A. Monteiro Livros Técnicos e Científicos Editora. Atenção: Este material não

Leia mais

Manual de Instalação... 2 RECURSOS DESTE RELÓGIO... 3 1 - REGISTRANDO O ACESSO... 4 1.1 Acesso através de cartão de código de barras:...

Manual de Instalação... 2 RECURSOS DESTE RELÓGIO... 3 1 - REGISTRANDO O ACESSO... 4 1.1 Acesso através de cartão de código de barras:... 0 Conteúdo Manual de Instalação... 2 RECURSOS DESTE RELÓGIO... 3 1 - REGISTRANDO O ACESSO... 4 1.1 Acesso através de cartão de código de barras:... 4 1.2 Acesso através do teclado (digitando a matrícula):...

Leia mais

Analisador de Linhas TN-10E

Analisador de Linhas TN-10E Analisador de Linhas TN-10E O Analisador de Linhas TN-10E é um instrumento compacto com capacidade de realizar medições digitais e analógicas para testes e ensaios em linhas de transmissão de dados que

Leia mais

PROJETO DE REDES www.projetoderedes.com.br

PROJETO DE REDES www.projetoderedes.com.br PROJETO DE REDES www.projetoderedes.com.br Curso de Tecnologia em Redes de Computadores Disciplina: Redes I Fundamentos - 1º Período Professor: José Maurício S. Pinheiro AULA 2: Transmissão de Dados 1.

Leia mais

Interfaces: blindagem, ligação à terra e linhas de transmissão. Revisões. Interfaces: blindagem, ligação à terra e linhas de transmissão

Interfaces: blindagem, ligação à terra e linhas de transmissão. Revisões. Interfaces: blindagem, ligação à terra e linhas de transmissão Ruído exterior Acoplamento indutivo Acoplamento capacitivo Múltiplas ligações à terra Pares entrançados Blindagem Linhas Reflexões na linha Terminação na carga Terminação na fonte Revisões Método de interligações

Leia mais

MINISTÉRIO DA EDUCAÇÃO CEFET/SC - Unidade de São José. Curso Técnico em Telecomunicações CONTADORES. Marcos Moecke

MINISTÉRIO DA EDUCAÇÃO CEFET/SC - Unidade de São José. Curso Técnico em Telecomunicações CONTADORES. Marcos Moecke MINISTÉRIO DA EDUCAÇÃO - Unidade de São José Curso Técnico em Telecomunicações CONTADORES Marcos Moecke São José - SC, 25 SUMÁRIO 5. CONTADORES... 5. CONTADORES ASSÍNCRONOS... CONTADOR ASSÍNCRONO CRESCENTE...

Leia mais

Obs.: O processo irá se repetir enquanto durar o disparo do alarme.

Obs.: O processo irá se repetir enquanto durar o disparo do alarme. pág. 9 DISCADOR T-430 Aplicação: Equipamento desenvolvido a fim de realizar automaticamente discagens telefônicas para aviso de alarme. Podendo ser implementado praticamente à todos os sistema de alarme.

Leia mais

WinGate - Passo a passo

WinGate - Passo a passo WinGate - Passo a passo Configurando os Computadores de sua rede Nesta etapa, mostraremos como preparar os computadores de sua rede para a instalação do WinGate. 1ª Parte É necessário que os computadores

Leia mais

Organização e Arquitetura de Computadores

Organização e Arquitetura de Computadores Organização e Arquitetura de Computadores Entrada e saída Alexandre Amory Edson Moreno Nas Aulas Anteriores Foco na Arquitetura e Organização internas da Cleo Modelo Von Neuman Circuito combinacional Circuito

Leia mais

Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação

Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação Aula 6-T 2. Máquinas Sequencias Síncronas: Comparação entre

Leia mais

Application Note FBEE Comunicação Serial Wireless REV01. - 1 - Rev01

Application Note FBEE Comunicação Serial Wireless REV01. - 1 - Rev01 Application Note FBEE Comunicação Serial Wireless REV01-1 - Rev01 1. INTRODUÇÃO Aplicações com redes sem fio vêm se tornando bastante comuns. De aplicações industriais a projetos específicos, criou-se

Leia mais

2 Conceitos de transmissão de dados

2 Conceitos de transmissão de dados 2 Conceitos de transmissão de dados 2 Conceitos de transmissão de dados 1/37 2.4 Camada física do Modelo OSI 2.4 Camada física do modelo OSI 2/37 Interfaces série entre utilizador e rede DTE (Data terminal

Leia mais

O protocolo I2C. Fig. 1 Diagrama em blocos e pinos do circuito integrado PT2313.

O protocolo I2C. Fig. 1 Diagrama em blocos e pinos do circuito integrado PT2313. O protocolo I2C 1 - Introdução O protocolo I2C ou I 2 C, também chamado IIC, foi criado para possibilitar a comunicação entre circuitos integrados com um número reduzido de fios, reduzindo o número de

Leia mais

ARDUINO UNO Guia do Usuário

ARDUINO UNO Guia do Usuário ARDUINO UNO Guia do Usuário Heco Mecatrônica Ltda. e-mail: vendas@hecomecatronica.com.br Visite nosso site: www.hecomecatronica.com.br Loja Virtual: shop.hecomecatronica.com.br Guia do Usuário - Página

Leia mais

Motores I Automação I Energia I Transmissão & Distribuição I Tintas. CANespecial 1 SCA06. Manual do Usuário

Motores I Automação I Energia I Transmissão & Distribuição I Tintas. CANespecial 1 SCA06. Manual do Usuário Motores I Automação I Energia I Transmissão & Distribuição I Tintas CANespecial 1 SCA06 Manual do Usuário Manual do Usuário CANespecial 1 Série: SCA06 Idioma: Português N º do Documento: 10002922105 /

Leia mais

Sistemas Operacionais. Prof. André Y. Kusumoto andrekusumoto.unip@gmail.com

Sistemas Operacionais. Prof. André Y. Kusumoto andrekusumoto.unip@gmail.com Sistemas Operacionais Prof. André Y. Kusumoto andrekusumoto.unip@gmail.com Estruturas de Sistemas de Computação O sistema operacional precisa garantir a operação correta do sistema de computação. Operação

Leia mais

Programa de Instalação do Lince GPS

Programa de Instalação do Lince GPS Esse programa instala o software Lince GSP em aparelhos portáteis que tenham GPS, como navegadores e celulares. O software Lince GPS é um programa destinado a alertar sobre a aproximação a pontos previamente

Leia mais

Pesquisa e organização de informação

Pesquisa e organização de informação Pesquisa e organização de informação Capítulo 3 A capacidade e a variedade de dispositivos de armazenamento que qualquer computador atual possui, tornam a pesquisa de informação um desafio cada vez maior

Leia mais

MANUAL DE UTILIZAÇÃO Aplicativo Controle de Estoque Desktop

MANUAL DE UTILIZAÇÃO Aplicativo Controle de Estoque Desktop MANUAL DE UTILIZAÇÃO Aplicativo Controle de Estoque Desktop 1 1 INICIANDO O APLICATIVO PELA PRIMEIRA VEZ... 3 2 PÁGINA PRINCIPAL DO APLICATIVO... 4 2.1 INTERFACE INICIAL... 4 3 INICIANDO PROCESSO DE LEITURA...

Leia mais

Instalando software MÉDICO Online no servidor

Instalando software MÉDICO Online no servidor Instalando software MÉDICO Online no servidor A máquina denominada Servidora é a que armazenará o banco de dados do software (arquivo responsável pelas informações inseridas), compartilhando com as demais

Leia mais

Interrupções. As interrupções são casos especiais de chamadas de procedimentos.

Interrupções. As interrupções são casos especiais de chamadas de procedimentos. Interrupções Uma interrupção é equivalente a uma chamada de procedimento. A chamada é equivalente a um CALL gerado pela execução de uma instrução. As interrupções são casos especiais de chamadas de procedimentos.

Leia mais

Conheça o 4017 (ART062)

Conheça o 4017 (ART062) 1 de 11 20/02/2013 18:14 Conheça o 4017 (ART062) Este artigo não é novo, mas sua atualidade se manterá por muito tempo, o que jusitifica o fato dele ser um dos mais acessados desse site. De fato, o circuito

Leia mais

O cursor se torna vermelho e uma Paleta de Edição contendo as instruções mais utilizadas é apresentada.

O cursor se torna vermelho e uma Paleta de Edição contendo as instruções mais utilizadas é apresentada. Editor de Ladder para VS7 Versão Teste O editor de ladder é um software de programação que permite que o VS7 e o µsmart sejam programados em linguagem de contatos. Esse editor está contido na pasta Público

Leia mais

PONTIFÍCIA UNIVERSIDADE CATÓLICA DO PARANÁ CENTRO DE CIÊNCIAS EXATAS E DE TECNOLOGIA CURSO DE ENGENHARIA DE COMPUTAÇÃO. EletroTerm

PONTIFÍCIA UNIVERSIDADE CATÓLICA DO PARANÁ CENTRO DE CIÊNCIAS EXATAS E DE TECNOLOGIA CURSO DE ENGENHARIA DE COMPUTAÇÃO. EletroTerm PONTIFÍCIA UNIVERSIDADE CATÓLICA DO PARANÁ CENTRO DE CIÊNCIAS EXATAS E DE TECNOLOGIA CURSO DE ENGENHARIA DE COMPUTAÇÃO EletroTerm CURITIBA 2009 Leandro Alves de Menezes EletroTerm Documentação referente

Leia mais

Unidade Central de Processamento (CPU) Processador. Renan Manola Introdução ao Computador 2010/01

Unidade Central de Processamento (CPU) Processador. Renan Manola Introdução ao Computador 2010/01 Unidade Central de Processamento (CPU) Processador Renan Manola Introdução ao Computador 2010/01 Componentes de um Computador (1) Computador Eletrônico Digital É um sistema composto por: Memória Principal

Leia mais

Sistemas Digitais Reconfiguráveis

Sistemas Digitais Reconfiguráveis Departamento de Electrónica Telecomunicações e Informática Dezembro de 2006 Interface RS-232 para a TRENZ Daniel Baptista Nº 28703 O projecto O projecto consiste na construção da interface RS-232 e na

Leia mais