Contadores. Contador assíncrono

Tamanho: px
Começar a partir da página:

Download "Contadores. Contador assíncrono"

Transcrição

1 V ontadores Um contador é um circuito sequencial que conta... em binário, decimal ou segundo outras sequências podem ser assíncronos ou síncronos (máquinas de estados) plicações contar coisas... por exemplo, os carros que entram num parque de estacionamento certas máquinas de estados podem ser projectadas facilmente recorrendo a contadores e circuitos adicionais isponíveis como circuitos da série 74xxx binários, decimais ou up/down 65 ontador assíncrono om FFs tipo : N flip-flop tipo ant. ant. N 2 N ada flip-flops tem como relógio a saída N do flip-flop anterior (ripple counter) 2 66 Sistemas igitais 22/23 - FEUP/EE - José arlos lves

2 V ontador síncrono om FFs tipo : 2 odos os flip-flops têm o mesmo sinal de relógio (circuito síncrono) saída i troca quando todos os bits anteriores ( a i- ) são iguais a 67 om FFs tipo : ontador síncrono XOR 2 68 Sistemas igitais 22/23 - FEUP/EE - José arlos lves 2

3 V ontador com load paralelo 2 load uando load está activo, o contador é carregado com o que está nas entradas i ( i * = i ) omo acrescentar uma entrada de reset (síncrono)? 2 69 ontador binário 74x63 74x63 estado próximo presente estado /LR /L * * * * x x x x x x x x x x x x x x x x x x x x x x x N (se N<5) N + clock LR L 74x63 RO RO= quando ~= e = 7 Sistemas igitais 22/23 - FEUP/EE - José arlos lves 3

4 V x63 contador módulo Vcc (5V) LR L 74x63 RO sequência de estados Estado LR=.... LR é activado quando o estado actual é sequência de valores gerados na saída do contador:,, 2, 3, 4, 5, 6, 7, 8, 9,, x63 exemplo Vcc (5V) Gnd (V) 74x63 LR L RO valor carregado quando L é activado Estado LR L... LR é activado quando o estado actual é L é activado quando o estado actual é 72 Sistemas igitais 22/23 - FEUP/EE - José arlos lves 4

5 V x63 exemplo 3 Vcc (5V) clock 74x63 74x38 G G2 G2 Y Y Y2 Y3 Y4 Y5 Y6 Y7 Gnd LR L RO 73 ontador com descodificador 3 8 Vcc=+5V LRL LR L 74x63 RO G G2 G2 Y Y Y2 Y3 Y4 Y5 Y6 Y7 S S S2 S3 S4 S5 S6 S7 sequência de estados: S S S2 S3 S4 S5 S6 S Sistemas igitais 22/23 - FEUP/EE - José arlos lves 5

6 V ivisor de frequência Vcc=+5V (frequência f) LRL LR L 74x63 RO 2 (f/2) 4 (f/4) 8 (f/8) 6 (f/6) PULSE6 (f/6) =5% =6.25% (/6) (f) 2 (f/2) 4 (f/4) 8 (f/8) 6 (f/6) PULSE6 (f/6) 75 74x69 - contador up/down clock UP/WN L 74x69 RO 74x69 estado próximo presente estado UPWN L RO * * * * x x x x x x x a) x x x x x x x x x x x x a) N (se N<5) N + N (se N>) N - a) RO= se = e ( (N=5 e UPWN=) ou (N= e UPWN=) ) 76 Sistemas igitais 22/23 - FEUP/EE - José arlos lves 6

7 V Vcc (5V) 74x69 exemplo Gnd (V) UP/WN L 74x69 RO 77 ontador módulo 256 (8 bits) LRL LL 3 2 LR L 74x63 RO 3 2 bits menos significativos LR L 74x63 Este só conta quando o contador menos significativo atingir o estado RO RO bits mais significativos RO vale quando for atingido o valor máximo 78 Sistemas igitais 22/23 - FEUP/EE - José arlos lves 7

8 V Outros contadores da série 74xxx 74x6 igual ao 74x63 mas com LR assíncrono logo que LR é activado as saídas ~ são colocadas com no exemplo do slide 7, o estado não ocorre quando as saídas são é activado LR e passam logo para 74x6 igual ao 74x6 mas apenas conta de a 9 (decade counter) 74x62 igual ao 74x63 mas apenas conta de a 9 (decade counter) 79 onstruir uma máquina de estados com 6 saídas Y, Y,... Y5 que produza ciclicamente a sequência de valores seguinte: sugestão usar um 74x69 (up/down) para gerar a sequência..5.., e um descodificador 4 6 para produzir as 6 saídas... Problema Y Y Y2 Y3 Y4 Y5 Y6 Y7 Y8 Y9 Y Y Y2 Y3 Y4 Y5 8 Sistemas igitais 22/23 - FEUP/EE - José arlos lves 8

9 V Registo de deslocamento (shift-register) SERIL_IN saída paralela 2 SERIL_OU SERIL_IN 2 8 Registo de deslocamento com carregamento paralelo carregamento paralelo: i * = i (LO/SHIF=) SERIL_IN deslocamento para a esquerda i *= i- ; *=SERIL_IN (LO/SHIF=) 2 2 LO/SHIF SERIL_OU 82 Sistemas igitais 22/23 - FEUP/EE - José arlos lves 9

10 V Shift-register 74x94 próximo estado função s s * * * * hold shift right RIN shift left LIN load LR S S LIN RIN LIN é a entrada série quando é feito um deslocamento para a esquerda (de para ) RIN é a entrada série quando é feito um deslocamento para a direita (de para ) 83 Exemplo : detector de sequência Vcc=+5V LR S S S LIN RIN Z Z é quando for detectada na entrada S a sequência em 4 ticks de relógio consecutivos São também detectadas sequências parcialmente (como em )? 84 Sistemas igitais 22/23 - FEUP/EE - José arlos lves

11 V Exemplo2: detector de sequência Vcc=+5V S LR S S LIN RIN Z uando é detectada a sequência as saídas são estragadas (é carregado S para ) penas são detectadas sequências não sobrepostas 85 Exemplo 3: contador Vcc=+5V LR S S LIN RIN 86 Sistemas igitais 22/23 - FEUP/EE - José arlos lves

ELD - Eletrônica Digital Aula 7 Circuitos Sequenciais Contadores. Prof. Antonio Heronaldo de Sousa

ELD - Eletrônica Digital Aula 7 Circuitos Sequenciais Contadores. Prof. Antonio Heronaldo de Sousa ELD - Eletrônica Digital Aula 7 Circuitos Sequenciais Contadores Prof. Antonio Heronaldo de Sousa Agenda - Contadores - Conceitos - Contadores Assíncronos - Máquina de Estados Finitos - Contadores Assíncronos

Leia mais

Contadores ( Counters )

Contadores ( Counters ) ontadores ( ounters ) ircuitos sequenciais que : não dependem de entradas externas (para além do relógio); seguem uma sequência de estados pré-definida (ciclo do contador = nº de estados). plicações ontagem

Leia mais

A) A C + A B D + A B C D B) A B + A B D + A B C D C) A C + A C D + A B C D D) A C + A B D + A B C D

A) A C + A B D + A B C D B) A B + A B D + A B C D C) A C + A C D + A B C D D) A C + A B D + A B C D luno nº: Nome: LEI-T, LER, LEE Sistemas igitais 2º Exame - 9 de Fevereiro de 212 uração: 2h3. Identifique todas as folhas. Responda a cada pergunta no quadrado à direita ou deixe em branco. ada resposta

Leia mais

Aula 18. Máquina de Estados Parte 2. SEL Sistemas Digitais. Prof. Dr. Marcelo Andrade da Costa Vieira

Aula 18. Máquina de Estados Parte 2. SEL Sistemas Digitais. Prof. Dr. Marcelo Andrade da Costa Vieira Aula 8 Máquina de Estados Parte 2 SEL 44 - Sistemas Digitais Prof. Dr. Marcelo Andrade da Costa Vieira Eemplo de Projetos Síntese de Circuitos Sequenciais Eemplo de Projeto: l Contador binário síncrono

Leia mais

UFJF FABRICIO CAMPOS

UFJF FABRICIO CAMPOS Cap 7 Revisão Teoremas Booleanos Teoremas de De Morgan Portas Lógicas Flip-Flop Mapa de Karnaugh Simbologias Representação Binária Tabela Verdade Cap 7 Revisão Teoremas Booleanos Teoremas de De Morgan

Leia mais

Circuitos Digitais. Tipos de circuitos digitais: Circuitos combinacionais Circuitos sequenciais

Circuitos Digitais. Tipos de circuitos digitais: Circuitos combinacionais Circuitos sequenciais 1 Tipos de circuitos digitais: Circuitos combinacionais Circuitos sequenciais Circuitos Digitais Circuito combinacional: Circuito não é capaz de armazenar um valor Possui portas lógicas conectadas para

Leia mais

ELETRÔNICA DIGITAL APLICADA Aula 8- Registradores de deslocamento como contadores

ELETRÔNICA DIGITAL APLICADA Aula 8- Registradores de deslocamento como contadores ELETRÔNICA DIGITAL APLICADA Aula 8- Registradores de deslocamento como contadores Prof.ª Msc. Patricia Pedroso Estevam Ribeiro Email: patriciapedrosoestevam@hotmail.com 26/11/216 1 Registradores de deslocamento

Leia mais

NOME: TURMA. catavento. Dv 9. sistema electrónico de navegação. Db 9. S bússola

NOME: TURMA. catavento. Dv 9. sistema electrónico de navegação. Db 9. S bússola Sistemas Digitais, 1ª chamada 26/Jun/2002 (100101110) Página 1 Departamento de Engenharia Electrotécnica e de Computadores Sistemas Digitais (2001/2002) 1ª chamada 26/Junho/2002 Duração: 2horas, sem consulta.

Leia mais

Aula 14. Contadores Assíncronos. SEL Sistemas Digitais. Prof. Dr. Marcelo Andrade da Costa Vieira

Aula 14. Contadores Assíncronos. SEL Sistemas Digitais. Prof. Dr. Marcelo Andrade da Costa Vieira Aula 4 Contadores Assíncronos SEL 044 - Sistemas Digitais Prof. Dr. Marcelo Andrade da Costa Vieira Assíncronos X Síncronos l Contadores Assíncronos: O CLK é colocado apenas no primeiro FF (LSB) l Contadores

Leia mais

7. Módulos Funcionais sequenciais Contadores Tipos de contador Entradas síncronas e assíncronas

7. Módulos Funcionais sequenciais Contadores Tipos de contador Entradas síncronas e assíncronas 7. Módulos Funcionais sequenciais... 7 2 7.1 Contadores... 7 2 7.1.1 Tipos de contador... 7 3 7.1.2 Entradas síncronas e assíncronas... 7 3 7.1.3 Entradas assíncronas dos Flip Flops... 7 3 7.2 Sintetização

Leia mais

Normalmente o registrador de deslocamento é constituído de um conjunto de FFs (Flip-Flops) destinados a armazenar dados binários.

Normalmente o registrador de deslocamento é constituído de um conjunto de FFs (Flip-Flops) destinados a armazenar dados binários. O registrador de deslocamento (do inglês Shift-Register) é um dispositivo largamente usado em sistemas digitais, desde uma simples calculadora de bolso, teclados para introdução de códigos até teclados

Leia mais

PCS 2304 PROJETO LÓGICO DIGITAL 19/05/2006 Gabarito Preliminar 6 a Lista de Exercícios Contadores

PCS 2304 PROJETO LÓGICO DIGITAL 19/05/2006 Gabarito Preliminar 6 a Lista de Exercícios Contadores PCS 24 PROJETO LÓGICO DIGITAL 9/5/26 Gabarito Preliminar 6 a Lista de Exercícios Contadores ) Contador em anel. A Figura apresenta um contador em anel torcido semelhante aos vistos anteriormente em aula,

Leia mais

Registradores. Circuitos Lógicos. DCC-IM/UFRJ Prof. Gabriel P. Silva

Registradores. Circuitos Lógicos. DCC-IM/UFRJ Prof. Gabriel P. Silva Registradores Circuitos Lógicos DCC-IM/UFRJ Prof. Gabriel P. Silva 2 Registradores Conjunto de elementos de memória (flip-flops ou latches) utilizados para armazenar n bits. Utilizam um único sinal de

Leia mais

Capítulo 3 Circuitos lógicos seqüenciais: flip-flops, latches, contadores e registradores

Capítulo 3 Circuitos lógicos seqüenciais: flip-flops, latches, contadores e registradores Capítulo 3 Circuitos lógicos seqüenciais: flip-flops, latches, contadores e registradores Introdução Circuitos combinacionais x sequenciais Elemento básico: FLIP-FLOP (FF) Armazena informação (reter estado)

Leia mais

Contador. A ideia básica de um contador. Os flip-flops podem ser conectados juntos para realizar

Contador. A ideia básica de um contador. Os flip-flops podem ser conectados juntos para realizar A função de contagem é importante em sistemas digitais. Existem muitos tipos de contadores digitais, mas a finalidade básica deles é contar eventos representados por transições de níveis ou pulsos. Para

Leia mais

Circuitos sequenciais síncronos Parte II

Circuitos sequenciais síncronos Parte II Circuitos sequenciais síncronos Parte II Diagramas de estado Conceitos básicos Concepção de diagramas de estado Comparação do comportamento dos modelos de Moore e de Mealy Construção de tabelas de estado

Leia mais

Introdução a Sistemas Digitais

Introdução a Sistemas Digitais Introdução a Sistemas Digitais Definição Sistemas Digitais Projeto Revisão: Circuitos Combinacionais Circuitos Sequênciais Máquinas de Estados Sistemas Digitais Definição Um sistema digital é um sistema

Leia mais

Eletrônica Digital I TE050. Circuitos Seqüenciais

Eletrônica Digital I TE050. Circuitos Seqüenciais Universidade Federal do Paraná Setor de Tecnologia Departamento de Engenharia Elétrica Eletrônica Digital I TE050 Circuitos Seqüenciais Prof. Lúcio Mauro M. Tonon 1 Circuitos Seqüenciais A grande maioria

Leia mais

Laboratório 6 (Trabalho com Relatório) Semana 25 de Outubro a 29 de Outubro

Laboratório 6 (Trabalho com Relatório) Semana 25 de Outubro a 29 de Outubro Laboratório 6 (Trabalho com Relatório) Semana 25 de Outubro a 29 de Outubro Realização de módulo somador-subtractor. Utilização de ambiente Xilinx WebPack de edição de esquemáticos e simulação. Realização

Leia mais

Flip-flop D disparado pelo bordo ascendente ( Positive edge-triggered D flip-flop )

Flip-flop D disparado pelo bordo ascendente ( Positive edge-triggered D flip-flop ) Células de memória síncronas ESV-ESI-Sistemas igitais-fundamentos dos Circuitos Sequenciais (2) 1/14 As células de memória síncronas reagem de forma sincronizada com um sinal de relógio ( Clock -), o qual

Leia mais

Circuitos sequenciais síncronos

Circuitos sequenciais síncronos Circuitos sequenciais síncronos ESTV-ESI-Sistemas Digitais-Circuitos Sequenciais Síncronos / Os circuitos sequenciais síncronos, também designados por máquinas sequenciais síncronas ou máquinas de estados,

Leia mais

UNIVERSIDADE FEDERAL DO CEARÁ DEPARTAMENTO DE ENGENHARIA EM TELEINFORMÁTICA DISCIPLINA: PROJETO LÓGICO DIGITAL PROFESSOR: ALEXANDRE COELHO

UNIVERSIDADE FEDERAL DO CEARÁ DEPARTAMENTO DE ENGENHARIA EM TELEINFORMÁTICA DISCIPLINA: PROJETO LÓGICO DIGITAL PROFESSOR: ALEXANDRE COELHO UNIVERSIDADE FEDERAL DO CEARÁ DEPARTAMENTO DE ENGENHARIA EM TELEINFORMÁTICA DISCIPLINA: PROJETO LÓGICO DIGITAL PROFESSOR: ALEXANDRE COELHO PRÁTICA 13 FLIP FLOPS D e JK 1. Objetivos: Familiarização com

Leia mais

Arquitectura de Computadores I. Sistemas Digitais Sequenciais

Arquitectura de Computadores I. Sistemas Digitais Sequenciais istemas igitais equenciais António M. Gonçalves Pinheiro epartamento de Fï sica Covilhã - Portugal pinheiro@ubi.pt Circuitos Biestáveis ( Latches") - epresenta o próximo Biestável com NAN EET" EET" ET"

Leia mais

SISTEMAS DIGITAIS (SD)

SISTEMAS DIGITAIS (SD) SISTEMAS DIGITAIS (SD) MEEC Acetatos das Aulas Teóricas Versão 4.0 - Português Aula N o 23: Título: Sumário: Máquinas de Estado Microprogramadas: Endereçamento Expĺıcito/Impĺıcito Projecto de máquinas

Leia mais

Campus de Guaratinguetá Colégio Técnico Industrial de Guaratinguetá Professor Carlos Augusto Patrício Amorim

Campus de Guaratinguetá Colégio Técnico Industrial de Guaratinguetá Professor Carlos Augusto Patrício Amorim 1 unesp UNIVERSIDADE ESTADUAL PAULISTA Campus de Guaratinguetá Colégio Técnico Industrial de Guaratinguetá Professor Carlos Augusto Patrício Amorim LISTA DE EXERCÍCIOS: CONVERSORES D/A E A/D Sistemas Digitais

Leia mais

CAPÍTULO 6. Introdução aos Circuitos Lógicos Seqüenciais

CAPÍTULO 6. Introdução aos Circuitos Lógicos Seqüenciais CAPÍTULO 6 Introdução aos Circuitos Lógicos Seqüenciais 6.1. Conceito de Circuito Seqüencial Os circuitos seqüenciais são uma classe de circuitos digitais em que os valores lógicos das saídas, num determinado

Leia mais

Faculdade de Engenharia da Universidade do Porto

Faculdade de Engenharia da Universidade do Porto Faculdade de Engenharia da Universidade do Porto epartamento de Engenharia Electrotécnica e de omputadores Licenciatura em Engenharia Electrotécnica e de omputadores Enunciados e correcções de exames de

Leia mais

Circuitos Sequenciais

Circuitos Sequenciais Circuitos Sequenciais Tópicos: Contadores Memórias Circuitos Sequenciais Teoremas DeMorgan Mapas de Karnaugh Multiplexadores Flip Flops Flip Flop Os flip flops são unidades básicas de memória. Cada circuito

Leia mais

Introdução aos Trabalhos de Laboratório (Hardware/Software) Grupo:

Introdução aos Trabalhos de Laboratório (Hardware/Software) Grupo: Trabalho TP Trabalho Prático Introdução aos Trabalhos de Laboratório (Hardware/Software) Turma: Grupo: I Considere um circuito com o seguinte diagrama lógico: A B G C F a) Com o auxílio do software Xilinx

Leia mais

Organização e Arquitetura de Computadores I

Organização e Arquitetura de Computadores I Universidade Federal de Campina Grande Departamento de Sistemas e Computação Curso de Bacharelado em Ciência da Computação Organização e Arquitetura de Computadores I Circuitos Lógicos Sequenciais (Parte

Leia mais

ENGC40 - Eletrônica Digital

ENGC40 - Eletrônica Digital ENGC40 - Eletrônica Digital 1 a Lista de Exercícios Prof. Paulo Farias 1 de setembro de 2011 1. A Figura 1 mostra um circuito multiplicador que recebe dois números binários x 1 x 0 e y 1 y 0 e gera a saída

Leia mais

Eletrônica Digital II

Eletrônica Digital II FACULDADE SANTO AGOSTINHO - FSA ENGENHARIA ELÉTRICA Eletrônica Digital II Prof. Fábio Leite, Esp Tópicos Procedimento de projeto de circuitos sequenciais Projeto com FFs tipo D Projeto com FFs tipo JK

Leia mais

MINISTÉRIO DA EDUCAÇÃO CEFET/SC - Unidade de São José. Curso Técnico em Telecomunicações REGISTRADORES. Marcos Moecke. São José - SC,

MINISTÉRIO DA EDUCAÇÃO CEFET/SC - Unidade de São José. Curso Técnico em Telecomunicações REGISTRADORES. Marcos Moecke. São José - SC, MINISTÉRIO DA EDUCAÇÃO - Unidade de São José Curso Técnico em Telecomunicações REGISTRADORES Marcos Moecke São José - SC, 24-2 SUMÁRIO 6. REGISTRADORES... 1 6.1 REGISTRADORES DO TIPO PORTA PARALELA...1

Leia mais

Eletrônica e Circuitos Digitais Aula 14 Contadores e Registradores. Daniel S Batista

Eletrônica e Circuitos Digitais Aula 14 Contadores e Registradores. Daniel S Batista Eletrônica e Circuitos Digitais Aula 14 Contadores e Registradores Daniel S Batista Daniel.Strufaldi@gmail.com Organização Contadores assíncronos Contadores de módulo < 2 N. Circuitos integrados de contadores

Leia mais

Índice. Modelos e Procedimentos

Índice. Modelos e Procedimentos Sumário Introdução ao projecto de lógica sequencial. Índice Modelos e Procedimentos Abstracção de elementos com estado Formas de lógica sequencial Representação de Máquinas de Estados Finitas Parte da

Leia mais

Pré-Laboratório (Para ser entregue no início da aula prática)

Pré-Laboratório (Para ser entregue no início da aula prática) UNIVERSIDADE FEDERAL DE ITAJUBÁ Instituto de Engenharia de Sistemas e Tecnologia da Informação LABORATÓRIO DE ELETRÔNICA DIGITAL I ELT 29 Atividade de Laboratório 6 Aluno: Aluno: Aluno: Mat.: Mat.: Mat.:

Leia mais

APÊNDICE A Resumo Teórico

APÊNDICE A Resumo Teórico EPUSP - PS 35/355 - LABOATÓIO DIGITAL APÊNDIE A esumo Teórico E.T.M. e..s./5 (revisão). ONTADOES E EGISTADOES ontadores são circuitos seqüenciais que têm por principal objetivo armazenar o número de eventos

Leia mais

Sistemas Digitais (SD) Máquinas de Estado Microprogramadas: Circuito de Dados e Circuito de Controlo

Sistemas Digitais (SD) Máquinas de Estado Microprogramadas: Circuito de Dados e Circuito de Controlo Sistemas Digitais (SD) Máquinas de Estado Microprogramadas: Circuito de Dados e Circuito de Controlo Aula Anterior Na aula anterior: Memórias: Circuitos e tecnologias de memória: o RAM: Estática Dinâmica

Leia mais

INSTITUTO DE EDUCAÇÃO, CIÊNCIA E TECNOLOGIA DE SERGIPE COORDENADORIA DE ELETRÔNICA CONTADORES

INSTITUTO DE EDUCAÇÃO, CIÊNCIA E TECNOLOGIA DE SERGIPE COORDENADORIA DE ELETRÔNICA CONTADORES INSTITUTO DE EDUCAÇÃO, CIÊNCIA E TECNOLOGIA DE SERGIPE COORDENADORIA DE ELETRÔNICA CONTADORES Relatório técnico apresentado como requisito parcial para obtenção de aprovação na disciplina de Sistemas Digitais.

Leia mais

FIGURA 5-1 Diagrama geral de um sistema digital. Sistemas Digitais: Princípios e Aplicações Ronald J. Tocci e Neal S. Widmer Capítulo 5 Prentice Hall

FIGURA 5-1 Diagrama geral de um sistema digital. Sistemas Digitais: Princípios e Aplicações Ronald J. Tocci e Neal S. Widmer Capítulo 5 Prentice Hall FIGURA 5-1 Diagrama geral de um sistema digital. FIGURA 5-2 Símbolo geral para um flip-flop e definição dos seus dois estados de saída possiveis. FIGURA 5-3 Um latch com portas NDA tem dois estados de

Leia mais

SISTEMAS DIGITAIS CIRCUITOS SEQUENCIAIS SÍNCRONOS

SISTEMAS DIGITAIS CIRCUITOS SEQUENCIAIS SÍNCRONOS IRUITOS SEQUENIIS SÍNRONOS Setembro de IRUITOS SEQUENIIS SÍNRONOS - 2 SUMÁRIO: IRUITOS E MOORE E MELY RTERIZÇÃO ESPEIFIÇÃO SÍNTESE ONVERSÃO EEMPLOS PROJETOS LTERNTIVOS FLIP-FLOP / ESTO UTILIZÇÃO E ONTORES

Leia mais

SERVIÇO NACIONAL DE APRENDIZAGEM INDUSTRIAL Escola de Educação Profissional Senai Plínio Gilberto Kröeff CADERNO DE EXERCÍCIOS DE ELETRÔNICA DIGITAL

SERVIÇO NACIONAL DE APRENDIZAGEM INDUSTRIAL Escola de Educação Profissional Senai Plínio Gilberto Kröeff CADERNO DE EXERCÍCIOS DE ELETRÔNICA DIGITAL SERVIÇO NACIONAL DE AENDIZAGEM INDUSTRIAL Escola de Educação Profissional Senai Plínio Gilberto röeff CADERNO DE EXERCÍCIOS DE ELETRÔNICA DIGITAL Professor: Carlos Ricardo dos Santos Barbosa Unidade Curricular:

Leia mais

Sistemas Digitais I LESI :: 2º ano. Questões Práticas de Sistemas Sequenciais

Sistemas Digitais I LESI :: 2º ano. Questões Práticas de Sistemas Sequenciais Sistemas Digitais I LESI :: 2º ano Questões Práticas de Sistemas Sequenciais António Joaquim Esteves João Miguel Fernandes www.di.uminho.pt/~aje Bibliografia: secções 8.3.1, 8.4 (parte), 8.5 (parte), DDPP,

Leia mais

Capítulo VIII Registradores de Deslocamento e Contadores

Capítulo VIII Registradores de Deslocamento e Contadores Capítulo VIII Registradores de Deslocamento e Contadores 1 Introdução Vimos no capítulo anterior que flip-flops são dispositivos capazes de memorizar o seu estado (SET ou RESET). Neste capítulo estudaremos

Leia mais

7. Módulos Funcionais sequenciais Contadores Tipos de contador Entradas síncronas e assíncronas

7. Módulos Funcionais sequenciais Contadores Tipos de contador Entradas síncronas e assíncronas 7. Módulos uncionais sequenciais... 7-2 7. Contadores... 7-2 7.. ipos de contador... 7-3 7..2 Entradas síncronas e assíncronas... 7-3 7..3 Entradas assíncronas dos lip-lops... 7-3 7.2 tetização de Contadores...

Leia mais

ENGª DE ELECTRÓNICA E COMPUTADORES

ENGª DE ELECTRÓNICA E COMPUTADORES ENGª DE ELECTRÓNICA E COMPUTADORES SISTEMAS DIGITAIS II Colectânea de Exercícios de Exame José Sousa 2-22 Sumário MEMÓRIAS 2 MÁQUINAS DE ESTADOS SÍNCRONAS 6 MÁQUINAS DE ESTADOS ASSÍNCRONAS OUTRAS REALIZAÇÕES

Leia mais

Capítulo 5 - Flip-Flops e Dispositivos Correlatos

Capítulo 5 - Flip-Flops e Dispositivos Correlatos Capítulo 5 - Flip-Flops e Dispositivos Correlatos Introdução: Os circuitos considerados até o momento eram todos circuitos combinacionais, onde a saída é determinada pelos valores presentes nas entradas,

Leia mais

SISTEMAS DIGITAIS II Enunciados de Laboratório

SISTEMAS DIGITAIS II Enunciados de Laboratório SISTEMAS DIGITAIS II Enunciados de Laboratório Prof. José Sousa 2003/2004 JS/04 0 Sumário Trabalho - Memórias RAM... 2 Trabalho 2 - Memórias EPROM... 3 Trabalho 3 - Circuitos Sequenciais Síncronos Realização

Leia mais

LABORATÓRIO DE ELETRÔNICA DIGITAL Experiência 7: Análise de Circuitos Biestáveis

LABORATÓRIO DE ELETRÔNICA DIGITAL Experiência 7: Análise de Circuitos Biestáveis 33 1. Objetivo Analisar a operação de circuitos biestáveis: latches e flip-flops tipo RS, JK, T e D. 2. Conceito Um latch ou um flip-flop também são conhecidos como dispositivos biestáveis. Os biestáveis

Leia mais

UNIVERSIDADE TECNOLÓGICA FEDERAL DO PARANÁ DEPARTAMENTO ACADÊMICO DE ELETROTÉCNICA ELETRÔNICA DIGITAL - ET75C - Profª Elisabete N Moraes

UNIVERSIDADE TECNOLÓGICA FEDERAL DO PARANÁ DEPARTAMENTO ACADÊMICO DE ELETROTÉCNICA ELETRÔNICA DIGITAL - ET75C - Profª Elisabete N Moraes 9/5/26 UNIVERSIDADE TECNOLÓGICA FEDERAL DO PARANÁ DEPARTAMENTO ACADÊMICO DE ELETROTÉCNICA ELETRÔNICA DIGITAL - ET75C - Profª Elisabete N Moraes AULA 8 CONTADORES Em 2 de maio de 26. VARIAÇÕES DO FF- Tipo

Leia mais

CAPÍTULO 5 CONTADORES NA FORMA DE CIRCUITO INTEGRADO

CAPÍTULO 5 CONTADORES NA FORMA DE CIRCUITO INTEGRADO 1 CAPÍTULO 5 CONTADORES NA FORMA DE CIRCUITO INTEGRADO INTRODUÇÃO Devido a necessidade geral de contadores, já existem muitos contadores de forma de CI's. Na série TTL 74 os mais simples são o 74LS90,

Leia mais

Aula 28 - Contadores (continuação)

Aula 28 - Contadores (continuação) Aula 28 - Contadores (continuação) Prof. Renan Sebem Disciplina de eletrônica digital Departemanto de engenharia elétrica Centro de Ciências Tecnológicas (CCT) Universidade Do Estado de Santa Catarina

Leia mais

Circuitos Sequenciais. Sistemas digitais

Circuitos Sequenciais. Sistemas digitais Circuitos Sequenciais Sistemas digitais Agenda } Introdução } Latchs (trava) } Latch NAND e Latch NOR } Flip-Flop Set-Reset (FF S-R) } FF S-R Latch NAND, FF S-R Latch NOR, FF S-R Latch NAND com Clock }

Leia mais

NOME: TURMA. a) Mostre que, numa representação em complemento para dois, são necessários 8 bits para representar Tset e 7 bits para representar T.

NOME: TURMA. a) Mostre que, numa representação em complemento para dois, são necessários 8 bits para representar Tset e 7 bits para representar T. Sistemas Digitais, 2ª chamada 10/Jul/2002 (01101110) Página 1 Departamento de Engenharia Electrotécnica e de Computadores Sistemas Digitais (2001/2002) 2ª chamada 10/Julho/2002 Duração: 2horas, sem consulta.

Leia mais

SISTEMAS DIGITAIS 4º Trabalho de Laboratório Contadores e Registos

SISTEMAS DIGITAIS 4º Trabalho de Laboratório Contadores e Registos Itituto Superior Técnico - Universidade Técnica de Lisboa SISTEMAS DIGITAIS 4º Trabalho de Laboratório Contadores e Registos Objectivo: Pretende-se com este trabalho que os alunos se familiarizem com a

Leia mais

Eletrônica Digital II

Eletrônica Digital II FACULDADE SANTO AGOSTINHO - FSA ENGENHARIA ELÉTRICA Eletrônica Digital II Prof. Fábio Leite, Esp Tópicos Contadores síncronos Contadores síncronos de módulo < 2 N Contadores síncronos decrescentes Contadores

Leia mais

Circuitos Lógicos Aula 23

Circuitos Lógicos Aula 23 Circuitos Lógicos Aula 23 Rodrigo R. Paim ECI, LAND - UFRJ 09/06/2011 Circuitos Lógicos Aula 23 Aula Passada Aritmética Binária Representação binária com sinal Complemento a 2 Adição e Subtração Multiplicação

Leia mais

CENTRO FEDERAL DE EDUCAÇÃO TECNOLÓGICA DE MINAS GERAIS UNIDADE DE ENSINO SUPERIOR CURSO DE ENGENHARIA INDUSTRIAL ELÉTRICA PLANO DE ENSINO

CENTRO FEDERAL DE EDUCAÇÃO TECNOLÓGICA DE MINAS GERAIS UNIDADE DE ENSINO SUPERIOR CURSO DE ENGENHARIA INDUSTRIAL ELÉTRICA PLANO DE ENSINO DISCIPLINA ELETRÔNICA DIGITAL I Validade: A partir de 0/0. Departamento Acadêmico de Engenharia Elétrica Código SELD101 Carga Horária total: 90h Teórica: 0h Laboratório: 30h Exercício: 00h Créditos: 0

Leia mais

UFSM-CTISM. Circuitos Digitais Contadores Aula-11

UFSM-CTISM. Circuitos Digitais Contadores Aula-11 UFSM-CTISM Circuitos Digitais Aula-11 Professor: Andrei Piccinini Legg Santa Maria, 2011 : digitais são circuitos implementados a partir de flip-flops; Existem basicamente 2 tipos de contadores: : a saída

Leia mais

Contadores (Aula1) Prof. Rômulo Calado Pantaleão Camara

Contadores (Aula1) Prof. Rômulo Calado Pantaleão Camara Contadores (Aula1) Prof. Rômulo Calado Pantaleão Camara Carga Horária: 2h/60h Contadores Contadores (cont.) Os contadores podem ser classificados por: Tipo de controle - Assíncrono - Síncrono Tipo de contagem

Leia mais

FEI PROVA P1 SISTEMAS DIGITAIS II - NE /04/ TURMA A - Duração 80 min Sem Consulta Interpretação faz parte da prova. N.

FEI PROVA P1 SISTEMAS DIGITAIS II - NE /04/ TURMA A - Duração 80 min Sem Consulta Interpretação faz parte da prova. N. FEI PROVA P1 SISTEMAS DIGITAIS II - NE 7720 04/04/2009 - TURMA A - Duração 80 min Sem Consulta Interpretação faz parte da prova. N.o N.o da Lista Nome...Nota... 1.a Questão: (Valor 2,0) Para o circuito

Leia mais

CONTADORES MÓDULO N. Um contador constituído por 4 FFs, por exemplo, pode contar de 0 a 15, pois temos neste caso 16 estados ou possibilidades (2 4 ).

CONTADORES MÓDULO N. Um contador constituído por 4 FFs, por exemplo, pode contar de 0 a 15, pois temos neste caso 16 estados ou possibilidades (2 4 ). CONTADORES MÓDULO N Um contador constituído por 4 FFs, por exemplo, pode contar de 0 a 15, pois temos neste caso 16 estados ou possibilidades (2 4 ). Porém um contador pode ser construído de forma a apresentar

Leia mais

Eletrônica Digital II. Exemplo de um CI com encapsulamento DIP. Diagrama do CI 74XX76.

Eletrônica Digital II. Exemplo de um CI com encapsulamento DIP. Diagrama do CI 74XX76. Eletrônica Digital II Exemplo de um CI com encapsulamento DIP. Diagrama do CI 74XX76. Esquema interno do protoboard e colocação do CI com ligações. Aula Prática Ensaio Um Flip-Flop JK a) Objetivo: Testar

Leia mais

Organização e Arquitetura de Computadores I

Organização e Arquitetura de Computadores I Universidade Federal de Campina Grande Departamento de Sistemas e Computação Curso de Bacharelado em Ciência da Computação Organização e Arquitetura de Computadores I Circuitos Lógicos Sequenciais (Parte

Leia mais

1 Objetivos. 2 Material utilizado. 3 Normas de segurança e conduta no laboratório. 4 Contextualização. Pág 1/6

1 Objetivos. 2 Material utilizado. 3 Normas de segurança e conduta no laboratório. 4 Contextualização. Pág 1/6 Curso de Graduação em Ciência da Computação Disciplina: Laboratório de Eletrônica Digital Professor: Otávio Gomes (otavio.gomes@ifmg.edu.br) Atividade: Contadores síncronos em FPGA utilizando esquemáticos

Leia mais

Pontifícia Universidade Católica do Rio Grande do Sul Faculdade de Engenharia Circuitos Digitais - ECA. Prof. Dr. Fabian Vargas.

Pontifícia Universidade Católica do Rio Grande do Sul Faculdade de Engenharia Circuitos Digitais - ECA. Prof. Dr. Fabian Vargas. Prof. Dr. Fabian Vargas Índice 1. Portas Lógicas 1.1 Introdução 1.2 Diagramas de Tempo 1.3 Análise Booleana de Circuitos Lógicos Básicos 2. Circuitos Combinacionais 2.1. Introdução aos Circuitos Combinacionais

Leia mais

Sistemas Digitais Circuitos Sequenciais Básicos (Latches e Flip-Flops) Horácio Neto Nuno Horta João Paulo Carvalho

Sistemas Digitais Circuitos Sequenciais Básicos (Latches e Flip-Flops) Horácio Neto Nuno Horta João Paulo Carvalho Sistemas Digitais Circuitos Sequenciais Básicos (Latches e Flip-Flops) Horácio Neto Nuno Horta João Paulo Carvalho Circuitos Sequenciais Circuitos Sequenciais: o comportamento do circuito depende não só

Leia mais

Domínios da Informação. » RAM /abr./: RARELY ADEQUATE MEMORY, BECAUSE THE MORE MEMORY A COMPUTER HAS, THE FASTER IT CAN PRODUCE ERROR MESSAGES «

Domínios da Informação. » RAM /abr./: RARELY ADEQUATE MEMORY, BECAUSE THE MORE MEMORY A COMPUTER HAS, THE FASTER IT CAN PRODUCE ERROR MESSAGES « omínios da Informação» RM /abr./: RREL EUTE MEMOR, EUSE THE MORE MEMOR OMPUTER HS, THE FSTER IT N PROUE ERROR MESSGES «nonymous 74.53 Um termómetro marca a temperatura numa escala real, contínua Um computador

Leia mais

Circuitos Lógicos Registradores e Contadores

Circuitos Lógicos Registradores e Contadores Circuitos Lógicos Registradores e Contadores Prof.: Daniel D. Silveira 1 Problemas de temporização Como Q1 muda de estado na borda de descida, J2 e Q2 mudará de estado quando receber a mesma borda de descida.

Leia mais

INSTRUMENTAÇÃO E MEDIDAS

INSTRUMENTAÇÃO E MEDIDAS INSTRUMENTAÇÃO E MEDIDAS TRABALHO N.º2 CONTROLO DE UM DISPLAY DE 7 SEGMENTOS ATRAVÉS DA PORTA PARALELA USANDO COMUNICAÇÃO SÉRIE E PARALELA. Duração do trabalho 2 aulas práticas Ano Lectivo 2002/2003 Página

Leia mais

SISTEMAS DIGITAIS Colectânea de Exercícios de Exame Engª Electrotécnica

SISTEMAS DIGITAIS Colectânea de Exercícios de Exame Engª Electrotécnica SISTEMAS DIGITAIS Colectânea de Exercícios de Exame Engª Electrotécnica 2001/2002 Sumário FUNÇÕES LÓGICAS 2 FAMÍLIAS LÓGICAS 7 CIRCUITOS COMBINATÓRIOS 11 LATCHES E FLIP-FLOPS 16 REGISTOS 20 CONTADORES

Leia mais

Unidade Central de Processamento UCP (CPU)

Unidade Central de Processamento UCP (CPU) Unidade Central de Processamento UCP (CPU)! Arquitetura Convencional (Von Neumann) UCP BARRAMENTO MEMÓRIA PRINCIPAL ENTRADA E SAÍDA ! Visão geral da CPU UC - UNIDADE DE CONTROLE REGISTRADORES A B C D ALU

Leia mais

Divisão de Engenharia Eletrônica Laboratório de ELE-20

Divisão de Engenharia Eletrônica Laboratório de ELE-20 Versão original: Prof. Duarte Lopes de Oliveira Versão digital : TCel. Fábio Durante Prof. de Laboratório: Prof. Giovanni Fernandes Amaral Sala 183 IEEA gfamaral@ita.br Divisão de Engenharia Eletrônica

Leia mais

Circuitos Digitais Contadores. Orivaldo Santana Jr. ovsj@cin.ufpe.br

Circuitos Digitais Contadores. Orivaldo Santana Jr. ovsj@cin.ufpe.br Circuitos Digitais Contadores Orivaldo Santana Jr. ovsj@cin.ufpe.br Roteiro Introdução Contadores Assíncronos Crescentes Contadores Assíncronos Decrescentes Contador Assíncrono Crescente/Decrescente Introdução

Leia mais

SISTEMAS DIGITAIS CIRCUITOS SEQUENCIAIS SÍNCRONOS

SISTEMAS DIGITAIS CIRCUITOS SEQUENCIAIS SÍNCRONOS IRUITOS SEQUENIIS SÍNRONOS Setembro de 4 IRUITOS SEQUENIIS SÍNRONOS - 2 SUMÁRIO: IRUITOS E MOORE E MELY RTERIZÇÃO ESPEIFIÇÃO SÍNTESE ONVERSÃO EEMPLOS PROJETOS LTERNTIVOS FLIP-FLOP / ESTO UTILIZÇÃO E ONTORES

Leia mais

Símbolos e abreviaturas utilizadas na descrição das instruções

Símbolos e abreviaturas utilizadas na descrição das instruções Símbolos e abreviaturas utilizadas na descrição das instruções acumulador registo A addr endereço de 16 bits data quantidade de 8 bits data 16 quantidade de 16 bits byte 2 segundo byte da instrução byte

Leia mais

Registradores de Deslocamento e Memórias

Registradores de Deslocamento e Memórias Registradores de eslocamento e Memórias Rodrigo Hausen 1 Registradores de deslocamento Exercício 1 Usando flip-flops do tipo, projete uma máquina de estado com duas entradas, (clock) e d (um bit de dado),

Leia mais

Fundamentos de Sistemas Digitais. Lógica Sequencial. Prof. Dr. Alexandre M. Amory Prof. Dr Edson I. Moreno

Fundamentos de Sistemas Digitais. Lógica Sequencial. Prof. Dr. Alexandre M. Amory Prof. Dr Edson I. Moreno Fundamentos de Sistemas Digitais Lógica Sequencial Prof. Dr. Alexandre M. Amory Prof. Dr Edson I. Moreno 2 Referências Sugiro estudarem nesta ordem de preferência: Floyd, Cap 7 até 7.4, 9, 10. Não tem

Leia mais

Aula Expositiva 03. DCC 001 Programação de Computadores 2 o Semestre de 2011 Prof. Osvaldo Carvalho DCC

Aula Expositiva 03. DCC 001 Programação de Computadores 2 o Semestre de 2011 Prof. Osvaldo Carvalho DCC Aula Expositiva 03 2.2.5 Síntese de Circuitos Combinatórios (7 segmentos) 2.2.6 Multiplexadores e Demultiplexadores 2.3 Circuitos Sequenciais 2.3.1 Flip-flops e registradores 2.3.2 Barramentos e Controle

Leia mais

As saídas tomam valores em função das entradas no momento e dos acontecimentos passados Existência de memória

As saídas tomam valores em função das entradas no momento e dos acontecimentos passados Existência de memória Circuitos Lógicos Sequenciais Definição As saídas tomam valores em função das entradas no momento e dos acontecimentos passados Existência de memória Conceito de Memória Asaídadepende devariáveisdeentrada

Leia mais

UFAL- Campus Arapiraca- Equipe:Igor Rafael, Matheus Torquato, Onassys Constant, Arthur Erick, Luis Eduardo. LÓGICA SEQUENCIAL

UFAL- Campus Arapiraca- Equipe:Igor Rafael, Matheus Torquato, Onassys Constant, Arthur Erick, Luis Eduardo. LÓGICA SEQUENCIAL UFAL- Campus Arapiraca- Equipe:Igor Rafael, Matheus Torquato, Onassys Constant, Arthur Erick, Luis Eduardo. LÓGICA SEQUENCIAL Lógica Sequencial Na lógica seqüencial, os sinais de saída são resultados não

Leia mais

COMPÊNDIO ELECTRÓNICA DIGITAL 2

COMPÊNDIO ELECTRÓNICA DIGITAL 2 S. R. MINISTÉRIO DA DEFESA NACIONAL FORÇA AÉREA PORTUGUESA CENTRO DE FORMAÇÃO MILITAR E TÉCNICA Curso de Formação de Praças - RC COMPÊNDIO ELECTRÓNICA DIGITAL 2 EPR: SAJ João Marques CCF 335-17 Julho 2008

Leia mais

Contadores {pctr_r.doc}

Contadores {pctr_r.doc} Prof V Vargas, IST Contadores 4/7/, Pg /6 Contadores {pctr_r.doc} Preâmbulo: P: CTR DIV 6 Como o denota a mnemónica, CTR DIV 6 (Counter), o circuito figurado vem a ser um Contador de módulo 6: ao ritmo

Leia mais

Projeto de Semáforo com Display Digital Regressivo

Projeto de Semáforo com Display Digital Regressivo UNIVERSIDADE ESTADUAL DE FEIRA DE SANTANA LOURIVAL OLIVEIRA DA SILVA Projeto de Semáforo com Display Digital Regressivo Feira de Santana, 30 de março de 2004 UNIVERSIDADE ESTADUAL DE FEIRA DE SANTANA LOURIVAL

Leia mais

CARGA HORÁRIA TOTAL : 108 h/aulas TEORIA: 72 h/aulas PRÁTICA: 36 h/aulas. CURSO(S): Engenharia Elétrica SEMESTRE/ANO : 02/2010

CARGA HORÁRIA TOTAL : 108 h/aulas TEORIA: 72 h/aulas PRÁTICA: 36 h/aulas. CURSO(S): Engenharia Elétrica SEMESTRE/ANO : 02/2010 P L A N O D E E N S I N O DEPARTAMENTO: Engenharia Elétrica DISCIPLINA: Eletrônica Digital SIGLA: ELD PRÉ-REQUISITOS: CARGA HORÁRIA TOTAL : 108 h/aulas TEORIA: 72 h/aulas PRÁTICA: 36 h/aulas CURSO(S):

Leia mais

UNIVERSIDADE FEDERAL DO PARANÁ SETOR DE CIÊNCIA E TECNOLOGIA ENGENHARIA ELÉTRICA

UNIVERSIDADE FEDERAL DO PARANÁ SETOR DE CIÊNCIA E TECNOLOGIA ENGENHARIA ELÉTRICA UNIVERSIDADE FEDERAL DO PARANÁ SETOR DE CIÊNCIA E TECNOLOGIA ENGENHARIA ELÉTRICA RELATÓRIO CONTADOR DE 6 BITS PROGRAMÁVEL Trabalho apresentado à disciplina de Projeto de Circuitos Integrados Digitais,

Leia mais

Projecto e Controlo em Lógica Digital

Projecto e Controlo em Lógica Digital Projecto e Controlo em Lógica Digital 1º Laboratório Trabalho 1 Olá Mundo Objectivo: Escrever Ola Mundo em displays de 7 segmentos Lançar o Quartus II e abrir o projecto DE2_top; Alterar o programa para

Leia mais

FLIP-FLOPS: RS e D (teoria)

FLIP-FLOPS: RS e D (teoria) FLIP-FLOPS: RS e D (teoria) A eletrônica digital divide-se basicamente em duas áreas: a) lógica combinacional: que é caracterizada por circuitos cujas saídas dependem das entradas presentes no instante

Leia mais

Sistemas Binários. José Delgado Arquitetura de Computadores Sistemas binários 1

Sistemas Binários. José Delgado Arquitetura de Computadores Sistemas binários 1 Sistemas Binários Circuitos combinatórios Circuitos sequenciais Representação de números Notação em complemento para 2 Soma e subtração Grandes números José Delgado 23 Arquitetura de Computadores Sistemas

Leia mais

Circuitos Seqüenciais

Circuitos Seqüenciais ORGANIZAÇÃO E ARQUITETURA DE COMPUTADORES I Circuitos Seqüenciais prof. Dr. César Augusto M. Marcon prof. Dr. Edson Ifarraguirre Moreno 2 / 13 Sistemas Digitais Definição funcional: Aparato dotado de conjuntos

Leia mais

SISTEMAS DIGITAIS 5º Trabalho de laboratório Projecto de uma Fechadura Electrónica

SISTEMAS DIGITAIS 5º Trabalho de laboratório Projecto de uma Fechadura Electrónica LEFT, LEA, LEE SISTEMAS DIGITAIS 5º Trabalho de laboratório Projecto de uma Fechadura Electrónica Objectivo: Pretende-se com este trabalho que os alunos projectem um ircuito Sequencial Síncrono, que concretize

Leia mais

Eletrônica Digital II. Flip-Flop s. Prof. Msc. Getúlio Teruo Tateoki 1

Eletrônica Digital II. Flip-Flop s. Prof. Msc. Getúlio Teruo Tateoki 1 Eletrônica Digital II Flip-Flop s Prof. Msc. Getúlio Teruo Tateoki 1 Diagrama geral de um sistema digital Prof. Msc. Getúlio Teruo Tateoki 2 Símbolo geral para um flip-flop e seus dois estados de saída

Leia mais

APÊNDICE A Resumo Teórico

APÊNDICE A Resumo Teórico EPUP P 2011/2305/2355 Laboratório igital 1 IUITO BIETÁVEI APÊNIE A esumo Teórico Há dois aspectos importantes a serem considerados a respeito de circuitos biestáveis (flip-flops): o tipo de sincronismo

Leia mais

Curso Profissional de Técnico de Gestão de Equipamentos Informáticos 10º ANO

Curso Profissional de Técnico de Gestão de Equipamentos Informáticos 10º ANO Planificação Anual 2016/2017 Curso Profissional de Técnico de Gestão de Equipamentos Informáticos SISTEMAS DIGITAIS E ARQUITETURA DE COMPUTADORES 10º ANO 1 MÓDULO 1 - Sistemas de Numeração 32 aulas de

Leia mais

2. FLIP FLOP tipo D síncrono borda de descida e com entradas assíncronas preset e clear. PRE Q n F/F CLR

2. FLIP FLOP tipo D síncrono borda de descida e com entradas assíncronas preset e clear. PRE Q n F/F CLR AULA 04 Entradas Assíncronas, preset e clear, borda de subida e descida, set-up e hold, associação de F/Flop tipo T, contador assíncrono crescente e decrescente. Livro Texto pág. 149 a 152 1. Entradas

Leia mais

Todo processador é constituído de circuitos capazes de realizar algumas operações primitivas:

Todo processador é constituído de circuitos capazes de realizar algumas operações primitivas: Todo processador é constituído de circuitos capazes de realizar algumas operações primitivas: Somar e subtrair Mover um dado de um local de armazenamento para outro Transferir um dado para um dispositivo

Leia mais

LABORATÓRIO DE ELETRÔNICA DIGITAL Experiência 9: Análise de Circuitos com Contadores

LABORATÓRIO DE ELETRÔNICA DIGITAL Experiência 9: Análise de Circuitos com Contadores 45 1. Objetivos Realizar a analise detalhada de todos os blocos constituintes de um relógio digital. Implementar um relógio digital. 2. Conceito Um contador é construído a partir de flip-flops (T, D JK,

Leia mais

Trabalho de Circuitos Integrados Digitais Maquina de Estados: Contador Código Gray

Trabalho de Circuitos Integrados Digitais Maquina de Estados: Contador Código Gray UFPR Universidade Federal do Paraná Curso de Engenharia Elétrica Trabalho de Circuitos Integrados Digitais Maquina de Estados: Contador Código Gray Daniel Lauer Luciano F. da Rosa Curitiba, junho de 2010

Leia mais

Circuitos Seqüenciais (Máquinas Síncronas ou de Estados Finitos)

Circuitos Seqüenciais (Máquinas Síncronas ou de Estados Finitos) COTUCA - Colégio Técnico de Campinas e da UNICAMP TDM II - Técnicas Digitais e de Microprocessadores II - 1ºBim-2011 - Prof. Corradi 1-Introdução Circuitos Seqüenciais (Máquinas Síncronas ou de Estados

Leia mais

Tecnologia digital Trabalho nº 3 Miniprojeto de contadores digitais

Tecnologia digital Trabalho nº 3 Miniprojeto de contadores digitais Tecnologia digital Trabalho nº 3 Miniprojeto de contadores digitais Introdução: A capacidade de contar é uma operação fundamental em eletrónica digital. Um contador fornece uma saída binária igual ao número

Leia mais