Sistemas Digitais Curso Profissional de Eletrónica, Automação e Comando

Tamanho: px
Começar a partir da página:

Download "Sistemas Digitais Curso Profissional de Eletrónica, Automação e Comando"

Transcrição

1 1. Circuitos Lógicos 1.1. Sistemas digitais Um sistema é um conjunto de partes que se inter relacionam funcionando como um todo, reagem a estímulos externos e em função destes geram saídas, pode-se comparar um sistema a uma fabrica onde as entradas são a matéria-prima e sobre a qual dentro da fabrica realizamos operações de modo a se obter produtos finais. Podem-se ter sistemas analógicos, sistemas digitais e ainda sistemas que podem ter partes analógicas e digitais sendo estes últimos os mais habituais nos tempos que correm, ir-se-á focar apenas os sistemas digitais, contudo temos de ter a noção do que é um sistema analógico e um sistema digital de modo a se entender as diferenças entre ambos. Um sistema analógico é um sistema em que tanto as entradas e as saídas são sinais analógicos, por seu turno um sistema digital é um sistema em que tanto as entradas como as saídas são digitais Sinais analógicos e sinais digitais Um sinal analógico é um sinal que varia ao longo do tempo e que pode assumir qualquer valor. Como por exemplo o sinal à saída de um microfone, o qual transforma as variações de pressão geradas quando falamos, ou uma onda gerada por um alternador. Um sinal digital por seu turno ao longo do tempo assume valores bem definidos, como por exemplo o deslocar dos ponteiros de um relógio o qual assume sempre valores bem definidos. Figura 1 Sinais analógicos Pagina 9

2 Figura 2 - Sinais digitais 1.3. Noção de abstração digital Os sistemas digitais funcionam a partir de tensões e correntes eléctricas os quais são sinais analógico, assim na maioria dos casos teremos de ignorar o comportamento analógico dos sinais e interpretar como se se tratassem de apenas 0 s e 1 s. Para que se possa considerar o que se referiu anteriormente tem de se associar intervalos de valores analógicos e a estes fazer corresponder o valor de 0 e o valor de 1, ao intervalo entre esses valores designa-se por margem de ruído. Figura 3 - Intervalos de definição dos valores lógicos 1.4. Tipos de sistemas digitais Temos dois tipos de sistemas digitais os sistemas síncronos e os sistemas assíncronos. Os sistemas síncronos são sistemas em que os seus valores mudam em instantes de tempo bem definidos. Os sistemas assíncronos são sistemas em que o seu valor pode mudar a qualquer instante do tempo. Pagina 10

3 1.5. Tipos de circuitos lógicos Em termos de circuitos lógicos podemos distinguir dois tipos, os circuitos combinatórios e os circuitos sequenciais. Os circuitos combinatórios são circuitos em que os valores das suas saídas dependem apenas do valor das suas entradas. Os circuitos sequenciais por seu turno, as suas saídas dependem do valor das suas entradas assim como da sequência desses valores ou seja do estado anterior do sistema. Quanto à sua aplicação os circuitos combinatórios servem para a implementação os mais diversos dispositivos como: codificadores e descodificadores; operadores matemáticos; dispositivos de controlo; entre outros. Os circuitos sequenciais por seu turno servem para implementar dispositivos mais complexos tais como: contadores; registos de deslocamento; memorias; maquinas de estados; entre outros Funções lógicas Toda a variável lógica em que o seu o seu valor depende de uma expressão lógica formada por outras variáveis lógicas relacionadas entre si por operadores lógicos dá-se o nome de função lógica. As variáveis lógicas ou operandos estão associadas as entradas de um sistema digital por seu turno a função em si produz o resultado das operações efetuadas e o qual corresponde ao valor da saída de um sistema digital Formas de representação das funções lógicas Existem quatro formas para representar funções lógicas, as quais são: Função algébrica; Tabela de verdade; Circuito elétrico; Portas lógicas (logigrama). A título de exemplo ir-se-á considerar uma função lógica e representa-la nas três primeiras formas referidas deixando a última forma para se discutir em momento oportuno. A função lógica na sua forma algébrica será: Pagina 11

4 ,, Equação 1 Representando esta sob a forma de um circuito elétrico considerando-se que interruptores são as variáveis lógicas e o seu resultado da função lógica dado pela entrada em funcionamento de um aparelho neste caso uma lâmpada podemos representar a função lógica pelo circuito elétrico representado na figura 4. Figura 4 - Circuito elétrico correspondente à equação 1 De modo a se representar a função lógica através de uma tabela de verdade tem de se saber o que esta é e como se constrói, assim para uma determinada função lógica S(a,b,c,...,n) a tabela de verdade será um quadro formado por tantas colunas, quantas as variáveis binárias independentes da função e uma coluna para representar a variável dependente, ou seja, o resultado da função. Quanto ao numero de linhas da tabela de verdade elas terão de ser tantas quanto as combinações de zeros e uns para as variáveis independentes assim tem-se que o numero de linhas será 2 N em que N é o numero de variáveis independentes. Para se representar a função da equação 1 tem-se assim uma tabela com 4 colunas e 2 3 linhas, isto é, 8 linhas como se pode ver na tabela 1. Tabela 1- Tabela de verdade da equação 1 a b c S(a,b,c) Funções lógicas básicas Têm-se quatro funções lógicas básicas e a partir das quais se podem construir todas as outras. Estas funções básicas como se irá ver mais adiante representam as portas lógicas básicas as quais estão disponíveis no mercado na forma de circuito integrado e a partir destas podemos construir qualquer tipo de circuito digital. Pagina 12

5 Igualdade lógica = Forma algébrica: Tabela de verdade: (Equação 2) A S(a) Circuito elétrico: Negação lógica não, NOT Forma algébrica: Tabela de verdade: (Equação 3) A S(a) Circuito elétrico: Soma lógica, OU, OR Forma algébrica: Tabela de verdade: (Equação 4) a b S(a) Pagina 13

6 Circuito elétrico: Multiplicação lógica, E, AND Forma algébrica: Tabela de verdade: (Equação 5) a b S(a) Circuito elétrico: 1.9. Funções lógicas derivadas Para além das funções lógicas básicas ainda se tem outras derivadas que são bastante importantes as quis se irão expor de seguida: NÃOE, NAND Forma algébrica: Tabela de verdade: (Equação 6) a b S(a) Pagina 14

7 NÃOOU, NOR Forma algébrica: Tabela de verdade: (Equação 7) a b S(a) OU exclusivo, EXOR Forma algébrica: Tabela de verdade: (Equação 8) a B S(a) Negação do ou exclusivo, EXNOR Forma algébrica: Tabela de verdade: (Equação 9) a b S(a) Formas canónicas de funções lógicas Tem-se duas formas canónicas de funções lógicas. A primeira forma canónica corresponde à soma dos produtos onde a função tem valor lógico de um e em que aparecem todas as variáveis independentes, sendo esses produtos designados por parcelas ou minitermos. A equação 10 está escrita na primeira forma canónica para uma função de três variáveis independentes (entradas). A segunda forma canónica corresponde aos produtos das somas onde a função tem valor lógico de zero e em que aparecem todas as variáveis independentes, sendo essas somas designadas por factores ou Maxitermos. A equação 11 está escrita na segunda forma canónica para uma função de três variáveis independentes (entradas). Pagina 15

8 A aplicação prática da utilização das formas canónicas é para que a partir de uma tabela de verdade se chegue à função lógica. Para a obtenção da equação 10 tem-se que somar todos os minitermos onde a função tem valor lógico 1. Para tal tome-se como base a tabela 2 que corresponde à tabela de verdade de uma função lógica e em que na penúltima coluna se representam os minitermos. Note-se que na tabela quando uma variável independente tem o valor lógico de 1 no minitermo aparece a própria variável independente e quando ela é 0 esta aparece negada no minitermo. Para a obtenção da equação 11 tem-se que multiplicar todos os Maxitermos onde a função tem valor lógico 0. Para tal tome-se como base a tabela 3 que corresponde à tabela de verdade da função lógica anterior e em que na penúltima coluna se representam os Maxitermos. Note-se que na tabela quando uma variável independente tem o valor lógico de 0 no Maxitermo aparece a própria variável independente e quando ela é 1 esta aparece negada no Maxitermo. Tabela 2 - Tabela de verdade da função F(a,b,c) e minitermos a b c F(a,b,c) minitermo símbolo m m m m m m m m7 Somando-se os minitermos, onde a função tem o valor lógico de 1 obtém-se função na primeira forma canónica:,, 0, 2, 5, 7,, (Equação 10) Tabela 3- Tabela de verdade da função F(a,b,c) e maxitermos a b c F(a,b,c) Maxitermo símbolo M M M M M M M M7 Multiplicando os maxitermos, onde a função tem o valor lógico de 0 obtém-se a função na segunda forma canónica: Pagina 16

9 ,, 1, 3, 4, 6,, (Equação 11) Postulados da álgebra de Boole Postulado 1 ou seja: A soma lógica de uma variável com 1 é igual a 1, ou seja: Postulado (Equação 12) A soma lógica de uma variável com 0 é igual ao valor da variável, ou seja: Postulado 3 0 (Equação 13) O produto lógico de uma variável por 1 é igual ao valor da variável, ou seja: Postulado 4 1 (Equação 14) O produto lógico de uma variável pôr 0 é igual a 0, ou seja: Postulado (Equação 15) A soma lógica de duas variáveis iguais equivale ao valor dessa variável, ou seja: Postulado 6 (Equação 16) A multiplicação lógica de duas variáveis iguais equivale ao valor dessa variável, Postulado 7 (Equação 17) seja: A soma lógica de uma variável com a negação da mesma variável é igual a 1, ou 1 (Equação 18) Pagina 17

10 ou seja: Postulado 8 O produto lógico de uma variável pela negação da mesma variável é igual a 0, Postulado 9 0 (Equação 19) Se uma variável binária é negada duas vezes esta não varia, ou seja: (Equação 20) Este postulado é válido para qualquer número par de negações. Postulado 10 Se os dois membros de uma igualdade forem negados, esta não sofre qualquer alteração, ou seja: (Equação 21) (Equação 22) Propriedades da álgebra de Boole Propriedade comutativa Propriedade associativa Propriedade distributiva (Equação 23) (Equação 24) (Equação 25) (Equação 26) (Equação 27) (Equação 28) Teoremas da álgebra de Boole Teorema 1 (Lei da absorção) Primeira regra da absorção (Equação 29) (Equação 30) Pagina 18

11 Segunda regra da absorção (Equação 31) (Equação 32) Terceira regra da absorção (Equação 33) (Equação 34) Teorema 2 (Leis de DeMorgan ou Princípio da dualidade) (Equação 35) (Equação 36) Simplificação de funções pelo método algébrico A simplificação de funções pelo método algébrico é um processo heurístico onde se procuram detetar partes da expressão que sejam simplificadas por aplicação dos teoremas, postulados e propriedades, resultando em expressões equivalentes. O processo repete-se até que já não existam sub expressões passíveis de se simplificarem, não existindo, no entanto, garantia de que a expressão obtida esteja realmente minimizada. De modo a se perceber todo este processo ira-se de seguida explicar como se chega à função simplificada Exemplo 1 Simplificação da expressão Aplicando a propriedade distributiva obtém-se: Pelo postulado 6 obtém-se: Pondo A em evidência teremos a expressão simplificada: Exemplo 2 Simplificação da expressão Pagina 19

12 Para simplificar esta expressão ira-se usar três modos distintos que se passam a descrever. Método 1 Pondo-se em evidencia tem-se que: Como 1 obtém-se: Pelo teorema 1 ir-se-á obter a expressão simplificada: Método 2 Pondo-se em evidencia tem-se que: Como 1 obtém-se: Pelo teorema 1 ir-se-á obter a expressão simplificada: Método 3 Atendendo ao postulado 5 podemos somar à expressão o seguinte termo tomando a expressão a seguinte forma: Pondo A e B em evidência obtém-se: Como 1 e 1 obtém-se Exemplo 3 Simplificação da expressão Atendendo a que AA = A e que 0 obtém-se: Pagina 20

13 0 Pondo A e em evidencia obtém-se: Como 1 tem-se que: Considerando que tem-se: Pelo teorema 1 ir-se-á obtém-se: Substituindo X pelo seu valor tem-se a expressão simplificada: Exemplo 4 Simplificação da expressão Aplicando as leis de DeMorgan da equação 36 tem-se: Como tem-se: Pondo em evidência Obtém-se: Aplicando as lei de DeMorgan da equação 36 a tem-se: Aplicando a propriedade distributiva tem-se: Como 0 e 0 Tem-se a expressão simplificada: Pagina 21

14 1.15. Simplificação de funções por mapas de Karnaugh Um mapa de Karnaugh é uma matriz com 2 N células, onde N é o número de variáveis do problema e onde cada célula está associada a um mintermo. Para três variáveis, por exemplo, o mapa de Karnaugh é um conjunto de 8 células, já que existem 8 mintermos associados. As células do mapa de Karnaugh são dispostas de forma a que de célula para célula apenas varie o valor uma variável. O intuito dos mapas de Karnaugh é de se passar de uma tabela de verdade e por meio de uma correta associação de uns ou zeros se chegar á função logica simplificada. O método de simplificação por mapas de Karnaugh é um processo simples de simplificação de funções até 4 variaveis, a partir deste número torna-se complicado. Existindo o método tabular de Quine-McCluskey mais moroso que a simplificação por mapas de Karnaugh. Quando o número de variáveis é superior a 4 se torna um método mais simples para simplificação de funções logicas Construção do mapa de Karnaugh para 2, 3 e 4 variáveis Para se construir o mapa de Karnaugh para duas variáveis tem-se um mapa de karnaugh com tantas quadriculas quantos os minitermos possíveis para uma situação de duas variáveis ou seja 2 2 (4) quadriculas, a cada quadricula ira corresponder um minitermo e devendo de quadricula para quadricula apenas variar o valor de uma variável. Na tabela 4 apresentam-se todos os minitermos para uma situação de duas variáveis e a tabela 5 corresponde ao mapa de karnaugh para duas variáveis. Tabela 4 - minitermos para duas variáveis A B minitermo Tabela 5- Mapa de karnaugh para duas variáveis A B Para se construir o mapa de Karnaugh para três variáveis tem-se um mapa de karnaugh com tantas quadriculas quantos os minitermos possíveis para uma situação de três variáveis ou seja 2 3 (8) quadriculas, a cada quadricula ira corresponder um minitermo e devendo de quadricula para quadricula apenas variar o valor de uma Pagina 22

15 variável. Na tabela 6 apresentam-se todos os minitermos para uma situação de três variáveis e a tabela 7 corresponde ao mapa de karnaugh para duas variáveis. Tabela 6 minitermos para três variáveis A B C minitermo AB C Tabela 7 Mapa de karnaugh para três variáveis Para se construir o mapa de Karnaugh para quatro variáveis tem-se um mapa de karnaugh com tantas quadriculas quantos os minitermos possíveis para uma situação de quatro variáveis ou seja 2 4 (16) quadriculas, a cada quadricula ira corresponder um minitermo e devendo de quadricula para quadricula apenas variar o valor de uma variável. Na tabela 8 apresentam-se todos os minitermos para uma situação de quatro variáveis e a tabela 9 corresponde ao mapa de karnaugh para duas variáveis. Tabela 8 minitermos para quatro variáveis A B C D minitermos Pagina 23

16 Tabela 9 - Mapa de karnaugh para quatro variáveis AB CD Simplificação por associação de uns A partir de uma tabela de verdade colocam-se no mapa os uns e os zeros correspondentes aos minitermos onde a função é um e zero respectivamente, posteriormente terá que de ler o mapa seguindo os seguintes passos: 1. Todos 1 devem ser lidos pelo menos uma vez. 2. Grupos de 1 em potência de 2, e retangulares formam uma leitura. 3. O grupo deve ser o maior possível. 4. Deve-se ter o menor número possível de leituras. 5. A leitura corresponde às variáveis que se mantiverem constantes. 6. A leitura deve-se iniciar pelos 1 mais isolados. 7. Os 1 com mais de uma opção de leitura são deixados para o final. Para que se entenda melhor apresenta-se de seguida alguns exemplos de simplificação através dos mapas de karnaugh Exemplo 1 Sendo a função expressa pela seguinte tabela de verdade: A B F minitermo O mapa correspondente será o seguinte: Associando-se os uns: B A B A Verifica-se que no grupo a variável que não varia é A assim tem-se que: Pagina 24

17 Exemplo 2 Sendo a função expressa pela seguinte tabela de verdade: A B F minitermo O mapa correspondente será o seguinte: B A Associando-se os uns: B A Verifica-se que no grupo amarelo a variável que não varia é o A e no grupo verde é o, assim tem-se que: Exemplo 3 Sendo a função expressa pela seguinte tabela de verdade: A B C F minitermo O mapa correspondente será o seguinte: C AB Pagina 25

18 Associando-se os uns: C AB Verifica-se que no grupo amarelo a variável que não variam as variáveis A e C e no grupo verde a variável não varia, assim tem-se que: Exemplo 4 Sendo a função expressa pela seguinte tabela de verdade: A B C minitermo O mapa correspondente será o seguinte: C AB Associando-se os uns (o mapa deve de ser visto como um circulo): C AB Verifica-se que: a) No grupo amarelo a variável que não varia é a variável A; b) No grupo verde a variável a variável que não varia é a variável. Assim tem-se que: Pagina 26

19 Exemplo 5 Sendo a função expressa pela seguinte tabela de verdade: A B C minitermo O mapa correspondente será o seguinte: C AB Associando-se os uns: C AB Verifica-se que: a) No grupo amarelo as variáveis que não variam são A e C; b) No grupo verde as variáveis que não variam são e. Assim tem-se que: Exemplo 6 Sendo a função expressa pela seguinte tabela de verdade: A B C minitermo O mapa correspondente será o seguinte: C AB Pagina 27

20 Associando-se os uns: C AB Verifica-se que: a) No grupo amarelo as variáveis que não variam são B e ; b) No grupo verde as variáveis que não variam são A e B e ; c) No grupo vermelho as variáveis que não variam são A e C. Assim tem-se que: Neste caso, o grupo vermelho AB é uma leitura indevida e corresponde ao termo redundante (fantasma) e sendo assim teremos que: Exemplo 7 Sendo a função expressa pela seguinte tabela de verdade: A B C D F minitermos O mapa correspondente será o seguinte: AB CD Pagina 28

21 Associando-se os uns: AB CD Verifica-se que: a) No grupo cinzento as variáveis que não variam são B e e D; b) No grupo amarelo as variáveis que não variam são A e ; c) No grupo verde as variáveis que não variam são A e ; d) No grupo vermelho as variáveis que não variam são e. Assim tem-se que: Podendo ainda tomar a forma seguinte: Exemplo 8 Sendo a função expressa pela seguinte tabela de verdade: A B C D F minitermos O mapa correspondente será o seguinte: AB CD Associando-se os uns: Pagina 29

22 AB CD Sendo esta forma incorreta uma vez que não respeita os pontos 5 e 6 assim teremos os grupos seguintes: AB CD E verifica-se que: a) No grupo amarelo as variáveis que não variam são,b e ; b) No grupo verde as variáveis que não variam são A, B e D; c) No grupo vermelho as variáveis que não variam são e C. Assim tem-se que: Pode-se ainda por o B em evidência e assim tem-se: Simplificação por associação de zeros Para se simplificar as funções através da associação de zeros, as regras são as mesmas que, a aplicada para a associação de uns contudo, a função poderá ser extraída de dois modos: 1) Ler os minitermos e obter a função negada ou seja ; 2) Ler os Maxitermos e obter a função F. De seguida apresenta-se um exemplo de como efetuar a simplificação usando os dois procedimentos referidos. Pagina 30

23 Sendo a função expressa pela seguinte tabela de verdade: A B C F minitermo O mapa correspondente será o seguinte: C AB Associando-se os zeros: C AB E verifica-se que: a) No grupo amarelo as variáveis que não variam são A e B; b) No grupo verde as variáveis que não variam são B e ; Utilizando-se os minitermos tem-se: Assim tem-se: Utilizando os maxitermos tem-se: Portas lógicas Todas as funções lógicas básicas referenciadas em 2.8 estão associadas a portas lógicas básicas as quais existem no mercado em forma de circuito integrado, para alem das postas básicas também existem circuitos integrados que implementam portas das funções lógicas derivadas já referenciadas em 2.9. Pagina 31

24 A cada uma das portas referidas está associado uma simbologia própria e a qual é referenciada por três normas a Americana, a Alemã e a Europeia. De entre as três a mais utilizada é a Americana. Na tabela 10 apresenta-se a simbologia de todas as portas lógicas para as três normas. Na figura 5 apresenta-se a título de exemplo o circuito elaborado com portas lógicas ou logigrama da função: Figura 5 - Circuito lógico (logigrama) da função Tabela 10 - Símbolos de portas lógicas Porta Lógica Americana Alemã Europeia AND OR NOT NAND NOR EXOR EXNOR Pagina 32

25 1.18. Circuitos integrados de portas lógicas e famílias lógicas Escalas de integração A evolução tecnológica deu origem ao transístor e a partir dele se evoluiu para os circuitos integrados, os quais têm diversas escalas de integração e sendo essa escala classificada em função do número de portas lógicas que um determinado circuito integrado tem, na tabela 11 apresenta-se os diversos níveis de integração assim como o número de portas lógicas de cada uma dessas escalas. Acrónimo Designação Portas lógicas por CI SSI Integração em pequena escala menor do que 12 MSI Integração em media escala 12 a 99 LSI Integração em larga escala 100 a 9999 VLSI Integração em escala muito larga a ULSI Integração em escala ultra larga a GSI Integração em escala giga ou mais Tabela 11 - Níveis de integração Circuitos integrados Um circuito integrado é uma coleção de componentes fabricados em um único pedaço de material semicondutor (normalmente o silício), o qual vem encapsulado para que se possa manusear convenientemente. A figura 6 mostra um circuito integrado dentro de um encapsulamento do tipo DIP (Dual In-line Package), o mais comum em integrados de portas lógicas, na figura 6 apresenta-se alguns tipos de encapsulamentos que se podem encontrar. Figura 6 Figura 7 Pagina 33

26 Todo o tipo de circuito integrado tem uma referência, essa referência obedece a um standard para que se possa identificar facilmente que tipo de circuito integrado é, independentemente do seu fabricante na figura 8 apresenta-se o modo de referenciarão dos circuitos integrados. Figura 8 Sistema de referência de circuitos integrados de portas lógicas Características elétricas definições Os circuitos integrados de portas lógicas têm algumas características elétricas as quais se deve dar especial atenção de seguida vai-se definir as características mais importantes, ver o seu significado e quais são as exigências de alimentação dos circuitos integrados de modo a que eles tenham um bom desempenho entre outras características. V IH(min) (Tensão Mínima de Entrada Correspondente ao Nível Lógico Alto) É o valor de tensão necessário para representar o nível lógico 1 na entrada de um circuito digital. Qualquer tensão abaixo de VIH não é considerada como nível lógico ALTO por um circuito digital. V IL(Max) (Tensão Máxima de Entrada Correspondente ao Nível Lógico Baixo) É o valor de tensão necessário para representar o nível lógico 0 na entrada de um circuito digital. Qualquer tensão acima de VIL não é considerada como nível lógico BAIXO por um circuito digital. V OH(min) (Tensão Mínima de Saída Correspondente ao Nível Lógico Alto) É o valor de tensão necessário para representar o nível lógico 1 na saída de um circuito digital. V OL(Max) (Tensão Máxima de Saída Correspondente ao Nível Lógico Baixo) É o valor de tensão necessário para representar o nível lógico 0 na saída de um circuito digital. Pagina 34

27 I IH (Corrente de Entrada Correspondente ao Nível Lógico Alto) Valor da corrente que circula na entrada de um circuito digital, quando um nível lógico ALTO é aplicado em tal entrada. I IL Corrente de Entrada Correspondente ao Nível Lógico Baixo: Valor da corrente que circula na entrada de um circuito digital, quando um nível lógico BAIXO é aplicado em tal entrada. I OH Corrente de Saída Correspondente ao Nível Lógico Alto: Valor da corrente que circula na saída de um circuito digital, quando um nível lógico ALTO é gerado em tal circuito, respeitadas as limitações para carregamento da saída. I OL (Corrente de Saída Correspondente ao Nível Lógico Baixo) Valor da corrente que circula na saída de um circuito digital, quando um nível lógico BAIXO é gerado em tal circuito, respeitadas as limitações para carregamento da saída. VCC (Tensão de alimentação) É o valor estipulado para a alimentação do circuito integrado. I CCH Corrente absorvida pelo circuito integrado a quando as suas saídas estão com o nível lógico Alto (1). I CCL Corrente absorvida pelo circuito integrado a quando as suas saídas estão com o nível lógico Baixo (0). I CC(media) Corrente média consumida a qual é dada por: (Equação 37) Figura 9 Circuitos correspondentes para determinação do I CCH e I CCL Fan-Out Em geral, a saída de um circuito lógico é projectada para alimentar várias entradas de outros circuitos lógicos. O fan-out, também chamado de factor de carga, é definido como o número máximo de entradas de circuitos lógicos que uma saída pode alimentar de forma confiável. Por exemplo, uma porta lógica com fan-out de 10 pode alimentar até 10 entradas lógicas padrão. Se tal número não for respeitado, os níveis de Pagina 35

28 tensão na saída do circuito poderão não respeitar as especificações. Para se determinar quantas entradas se podem ligar a uma saída quando a saída esta a 1 ou a 0 precisamos de calcular o fan-out (ALTO) e o fan-out (BAIXO) respetivamente para tal têm-se as seguintes expressões: fan out ALTO (Equação 38) fan out BAIXO (Equação 39) Atraso de Propagação Um sinal lógico sofre sempre um atraso na passagem através de um circuito. Os dois tempos correspondentes aos atrasos de propagação são definidos como: t PLH tempo de atraso correspondente à passagem do nível lógico 0 para o nível lógico 1 t PHL tempo de atraso correspondente à passagem do nível lógico 1 para o nível lógico 0. Normalmente, t PLH e t PHL possuem valores distintos e que variando em função das condições de carga a que o circuito está submetido. Tais valores são usados para comparar as velocidades de operação dos circuitos lógicos. Por exemplo, um circuito com atraso de propagação de 10 ns é mais rápido que um circuito com atraso de propagação de 20 ns. Figura 10 Tempos de atraso de uma porta NOT Exigências para a Alimentação Todos os circuitos integrados precisam que lhes seja fornecida uma determinada potência para funcionarem corretamente. A potência que um circuito integrado necessita para funcionar corretamente é determinada pelas necessidades de alimentação do circuito integrado e sendo esta Pagina 36

29 calculada pela multiplicação da tensão nominal (V CC ) do circuito integrado pela corrente media (I CC(med ) do mesmo Produto Velocidade-Potência As famílias de circuitos digitais têm como características marcantes a sua velocidade de operação e a potência consumida. Quando se projeta tais circuitos tentase que estes tenham um atraso de propagação baixo, ou seja, alta velocidade de operação e valores baixos de potência dissipada. Como se vai ver em as diversas famílias lógicas e suas subfamílias têm uma grande gama de velocidades e potências consumidas. Um meio comum de medir e comparar a performance global de uma família de circuitos integrados é através do produto velocidade-potência (speed-power), obtido através da multiplicação do atraso de propagação pela potência dissipada. Como é evidente, quanto mais baixo for esse valor melhor será o desempenho global da família. Os projetistas de circuitos estão a tentar constantemente reduzir o speed-power à custa do aumento da velocidade dos circuitos integrados através da redução dos atrasos de propagação e da diminuição da potência dissipada Imunidade ao Ruído Normalmente um circuito integrado está sujeito a picos de corrente e a campos eletromagnéticos que podem induzir tensões nas ligações entre circuitos lógicos. Tais sinais, indesejados e esporádicos designados por ruído, podem ter como resultado uma queda de tensão na entrada num circuito lógico (valor abaixo de V IH ) ou o aumento de tensão acima de V IL, o que causaria considerável alteração na operação de tal circuito. A imunidade ao ruído de um determinado circuito lógico refere-se à capacidade que um circuito tem para tolerar tensões geradas por ruído nas suas entradas, sem alterar o seu funcionamento. A quantidade medida de imunidade ao ruído é denominada margem de ruído. A figura 11 representando as faixas de tensão de saída que podem ocorrer na saída de um circuito lógico. Qualquer tensão maior do que V OH é considerada como representado o nível lógico 1, e qualquer tensão abaixo de V OL é considerada como nível lógico 0. Tensões situadas na faixa de indeterminação não devem, em condições normais de operação, aparecer na saída de circuitos lógicos. Pagina 37

30 A figura 11 mostra os níveis de tensão na entrada e representa as características das tensões aplicadas nas entradas dos circuitos lógicos. Tais circuitos responderão a qualquer nível de entrada superior a V IH, assumindo o nível lógico 1, e a qualquer tensão menor que V IL assumindo o nível lógico 0. Tensões situadas na faixa de incerteza, produzirão resultados imprevisíveis, devendo ser evitadas. A margem de ruído para o nível ALTO, V NH, é definida como: (Equação 40) A margem de ruído para o nível BAIXO, V IL, é definida como: (Equação 41) Figura 11- Margem de ruído Famílias lógicas Os circuitos integrados de portas lógicas agrupam-se em famílias, isto é, em circuitos integrados em que os componentes para o seu fabrico são idênticos, por sua vez as famílias são subdivididas em subfamílias em que as características dos circuitos integrados têm características elétricas com mais afinidade entre si. Na tabela 12 apresenta-se um resumo de famílias de circuitos integrados existentes e o seu nível de utilização, sendo as famílias TTL e CMOS as mais importantes. Para as famílias mais importantes ira-se ver as suas subfamílias com mais detalhe assim como as suas características principais. Pagina 38

31 Tabela 12 Famílias lógicas Acrónimo Designação Nível de utilização RTL Lógica Resistor-Transistor Obsoleta DTL Lógica Diodo-Transistor Obsoleta DCTL Lógica transistor acoplamento direto Pouco usado TTL Lógica Transistor-Transistor Mais popular * ECL Lógica Emissor-Acoplado Pouco usado MOS Metal Oxide Semiconductor PMOS Lógica MOSFETs de canal-p Obsoleta NMOS Lógica MOSFETs de canal-n Pouco usado CMOS Lógica MOSFETs Complementares * * Algumas sub-familias são obsoletas Família TTL Na tabela 13 apresentam-se as sub-famílias da Família TTL. Tabela 13 Subfamílias TTL Sigla Nome Características 74 Standard Subfamília padrão, a mais antiga. Já pouco usada porque as outras são melhores 74H High-Speed Mais rápida que a standard, mas que consome muito. Hoje é obsoleta. Foi substituída pela Schottky. 74L Low power Tem menor consumo que a standard, mas é muito mais lenta. Atualmente é obsoleta. 74S Schottky Utiliza transístores Schottky, que são mais rápidos, sem aumentarem muito o consumo. 74AS Advanced Schottky Versão melhorada da subfamília Schottky 74LS Low-Power Schottky É um bom compromisso entre rapidez e consumo. Ligeiramente mais rápida que a standard, consume apenas 1/5 do que esta consome. 74ALS Advanced Low-Power Schottky Versão melhorada da anterior. É a mais cara Família CMOS Na tabela 14 apresentam-se as subfamílias da Família CMOS. Tabela 14- Subfamílias CMOS Sigla Nome Características 4xxxA Série 4000A Primeira série da família CMOS. 4xxxB Série 4000B Série com correntes de saída maiores que a anterior. 74C Compatible CMOS Primeira subfamília da nova série, que utiliza a mesma numeração e a mesma pinagem dos integrados da família TTL. 74HC High-Speed CMOS Sub-família mais rápida que a anterior. Idêntica à anterior, mas que é eletricamente compatível 74HCT High-Speed CMOS compatible com a TTL: as suas portas têm correntes de saída with TTL suficientemente altas para poderem ser ligadas a portas TTL Comparação entre as famílias TTL, MOS e CMOS Na tabela 15 apresenta-se uma comparação das características mais importantes de algumas subfamílias TTL e as famílias MOS e CMOS. Tabela 15 - Comparação entre famílias lógicas Família ou Tempo de Imunidade a Potência (mw) Subfamílias Atraso (ns) ruído (V) Fan Out TTL TTL (F) 4 2, TTL (S) TTL (LS) MOS alta 20 CMOS alta 50 Pagina 39

32 Tipos de saídas das portas TTL Existem basicamente 3 tipos de saídas de portas TTL as saídas Totem-Pole, de coletor aberto e Tri-State. Pole Saídas Toten-Pole Na figura 12 apresenta-se o esquema de uma porta NAND com saída Tonten- A saída totem-pole é composta pelos transístores Q 3 e Q 4, e díodo D 1. Quando a saída está no nível lógico ALTO, Q 3 está em condução e Q 4 ao corte. O transístor Q 3 fornece o valor da tensão V CC para a saída. Quando a saída está no nível lógico BAIXO, o transístor Q 3 está ao corte e o transístor Q 4 em condução. Neste caso, Q 4 fornece o valor de tensão da massa (GND) para a saída, produzindo nível lógico BAIXO. O díodo D 1 é necessário para manter o transístor Q3 ao corte nesta situação, pois 0,8V na base de Q 3 não é suficiente para polarizar diretamente a junção base-emissor de Q 3 e D 1. As saídas totem-pole de duas portas não devem ser curto-circuitadas, pois se uma estiver ALTA e outra BAIXA, há uma corrente excessiva da fonte para a massa que pode danificar os circuitos. Figura 12- Saída toten-pole de uma porta NAND Saídas de coletor aberto Na figura 13 apresenta-se o esquema de uma porta NAND de coletor aberto Esta saída elimina o transístor Q 3, o díodo D 1 e a resistência R 4. A saída é o coletor de Q 4 que está aberto. Para funcionar corretamente, deve-se ligar uma resistência externa de pull-up (R P ) devendo esta resistência ser dimensionada e ligada pelo utilizador sendo um valor típico de 10 KΩ. Pagina 40

33 Figura 13- Saída toten-pole de uma porta NAND Saídas Tri-State Na figura 14 apresenta-se o esquema de uma porta NOT com saída tri-state este tipo de saídas permite operações de alta velocidade em que as saídas podem ser ligadas juntas caso uma delas esteja no estado de alta impedâncias (Z), isto é, uma saída habilitada e todas as outras desabilitadas. Esta saída é denominada por tri-state pois proporciona três estados possíveis para uma saída de porta TTL: ALTO, BAIXO e alta impedância (Hi-Z). No estado de alta impedância, ambos transístores Q 3 e Q 4 estão ao corte e o terminal de saída apresenta uma alta impedância para a massa e V CC, ficando a saída aberta, isto é, flutuante em que nem está no nível lógico ALTO nem no nível lógico BAIXO. O estado tri-state é obtido através de uma entrada de HABILITAÇÃO (ENABLE) que produz o estado de alta impedância. Com a entrada de HABILITAÇÃO (E) no nível ALTO, E=1, não há qualquer modificação na operação do transístor Q 1 e díodo D 2, e o circuito funciona como um inversor com entrada A. Um nível BAIXO na entrada de HABILITAÇÃO, E=0, polariza diretamente a junção base-emissor de Q 1 e desvia toda corrente de R 1 da base de Q 2, pondo Q2 e Q 4 ao corte. O nível BAIXO na entrada E polariza diretamente o díodo D 2 que desvia toda corrente de base de Q 3 fica ao corte. Como ambos transístores não conduzem, então o terminal de saída é como se esteja em circuito aberto. Pagina 41

34 Figura 14Saída Tri-State de uma porta NOT Circuitos integrados de portas lógicas Na tabela 16 apresentam-se os códigos de conteúdo de alguns circuitos integrados existentes no mercado de notar que antes deste código está o número 74 para se referenciar o integrado. lógicas. Porta Na figura 15 apresenta-se o pinout de alguns circuitos integrados de portas Tabela 16 - Circuitos integrados de portas lógicas Nº Entradas NAND BU 37, NAND ,21 30 NAND OC 01, NOR NOT 04 NOT OC 05 AND AND OC NAND ST 13 NOT ST 14,19 OR 32 XOR OC 136 XOR 86 XNOR OC 266 OC BU ST Colector aberto Buffer Schmitt Trigger Pagina 42

35 Figura 15 Pinout de alguns circuitos integrados de portas lógicas. Pagina 43

Famílias Lógicas I Características Gerais

Famílias Lógicas I Características Gerais Famílias Lógicas I Características Gerais SISTEMAS DIGITAIS II Prof. Marcelo Wendling Nov/10 Texto base: Sistemas Digitais Tocci (7ª edição). Capítulo 8. 1 Introdução Com a vasta utilização dos Circuitos

Leia mais

CI's das família TTL e CMOS

CI's das família TTL e CMOS Aula 04 CI's das família TTL e CMOS Prof. Tecgº Flávio Murilo 30/04/13 1 Famílias lógicas O que diferencia as famílias lógicas é o material no qual os circuitos integrados são construídos. RTL - Lógica

Leia mais

SISTEMAS DIGITAIS ELEMENTOS DE TECNOLOGIA

SISTEMAS DIGITAIS ELEMENTOS DE TECNOLOGIA ELEMTOS DE TECNOLOGIA ELEMTOS DE TECNOLOGIA - 2 SUMÁRIO: CIRCUITOS INTEGRADOS TECNOLOGIAS COMPONTES TTL NÍVEIS LÓGICOS FAN-OUT E FAN-IN TRANSISTORES CMOS PORTAS TRI-STATE TEMPOS DE PROPAGAÇÃO LÓGICA POSITIVA

Leia mais

Sistema Decimal - Permite representar qualquer quantidade por intermédio de uma soma ponderada de potências de base 10.

Sistema Decimal - Permite representar qualquer quantidade por intermédio de uma soma ponderada de potências de base 10. 1 Coelh ho, J.P. @ Sistem mas Digita ais : Y20 Sistemas de Numeração e Códigos Binários sistema de numeração que permitia, através de dez símbolos distintos (algarismos), representar uma determinada grandeza

Leia mais

9. Portas lógicas. 9. Portas lógicas. Escola Superior de Tecnologia e Gestão de Felgueiras - Politécnico do Porto

9. Portas lógicas. 9. Portas lógicas. Escola Superior de Tecnologia e Gestão de Felgueiras - Politécnico do Porto Sumário: Projecto de um circuito digital Portas lógicas universais Famílias lógicas LEI FÍSICA 1 Projecto de um circuito digital: 1. Traduzir o problema numa tabela de verdade 2. Obter da tabela, a função

Leia mais

Implementação de Funções Lógicas com Circuitos Integrados

Implementação de Funções Lógicas com Circuitos Integrados Implementação de Funções Lógicas com Circuitos Integrados Simbologias: Clássica e IEEE/ANSI Famílias Lógicas Existem várias tecnologias para fabricar circuitos integrados digitais, conhecidas por famílias

Leia mais

ELD - Eletrônica Digital Aula 2 Famílias Lógicas. Prof. Antonio Heronaldo de Sousa

ELD - Eletrônica Digital Aula 2 Famílias Lógicas. Prof. Antonio Heronaldo de Sousa ELD - Eletrônica Digital Aula 2 Famílias Lógicas Prof. Antonio Heronaldo de Sousa Agenda - Contextualização - Elementos Básicos (Fonte de Tensão, Chaves e LEDs) - O Transistor - Sinais de Entrada e Saída

Leia mais

Famílias de Circuitos Lógicos

Famílias de Circuitos Lógicos Famílias de Circuitos Lógicos Nikolas Libert Aula 3 Eletrônica Digital ET52C Tecnologia em Automação Industrial Famílias de Circuitos Lógicos Famílias de Circuitos Lógicos As características construtivas

Leia mais

ELD - Eletrônica Digital Aula 2 Famílias Lógicas. Prof. Antonio Heronaldo de Sousa

ELD - Eletrônica Digital Aula 2 Famílias Lógicas. Prof. Antonio Heronaldo de Sousa ELD - Eletrônica Digital Aula 2 Famílias Lógicas Prof. Antonio Heronaldo de Sousa Agenda - Contextualização - Elementos Básicos (Fonte de Tensão, Chaves e LEDs) - O Transistor - Sinais de Entrada e Saída

Leia mais

Instituto Federal de Educação, Ciência e Tecnologia de SC

Instituto Federal de Educação, Ciência e Tecnologia de SC Instituto Federal de Educação, Ciência e Tecnologia de SC FAMÍLIA DE CIRCUITOS LÓGICOS Profa. Fernanda Argoud Fev., 2013 Componentes Eletrônicos Até 1955: diodos e válvulas Válvulas: grandes, alto consumo

Leia mais

- Eletrônica digital - Capítulo 2 Circuitos Combinacionais

- Eletrônica digital - Capítulo 2 Circuitos Combinacionais - Eletrônica digital - Capítulo 2 Circuitos Combinacionais Introdução Lógica para tomada de decisões George Boole (1854): Uma investigação das leis do pensamento Termo álgebra booleana Relacionamento entre

Leia mais

8.4) Características da Série TTL Existem diversas subfamílias com diferentes características de capacidade, velocidade e potência TTL PADRÃO, 74 Não são mais indicados, outros dispositivos têm desempenho

Leia mais

Professor João Luiz Cesarino Ferreira CURSO TÉCNICO DE ELETRÔNICA 4 MÓDULO

Professor João Luiz Cesarino Ferreira CURSO TÉCNICO DE ELETRÔNICA 4 MÓDULO CURSO TÉCNICO DE ELETRÔNICA 4 MÓDULO 2016 1 Famílias lógicas Definição Entende - se por famílias de circuitos lógicos, os tipos de estruturas internas que nos permitem a confecção destes blocos em circuitos

Leia mais

LABORATÓRIO DE ELETRÔNICA DIGITAL

LABORATÓRIO DE ELETRÔNICA DIGITAL UFPA / ITEC / FEE LABORATÓRIO DE ELETRÔNICA DIGITAL Professor: Daniel Cardoso Circuitos Integrados e Famílias Lógicas TTL e CMOS A implementação de circuitos lógicos com dispositivos discretos (diodos,

Leia mais

8.7) Tecnologia MOS. MOS metal-óxido-semicondutor: um eletrodo de metal sobre um óxido isolante sobre um substrato de semicondutor

8.7) Tecnologia MOS. MOS metal-óxido-semicondutor: um eletrodo de metal sobre um óxido isolante sobre um substrato de semicondutor UFJF Fabrício FABRICIO Campos CAMPOS 8.7) Tecnologia MOS MOS metal-óxido-semicondutor: um eletrodo de metal sobre um óxido isolante sobre um substrato de semicondutor MOSFET - Metal Oxide Semiconductor

Leia mais

Prof. Sérgio Rebelo. Curso Profissional Técnico de Eletrónica, Automação e Comando

Prof. Sérgio Rebelo. Curso Profissional Técnico de Eletrónica, Automação e Comando Prof. Sérgio Rebelo Curso Profissional Técnico de Eletrónica, utomação e Comando Módulo I.I Circuitos Lógicos 2 Carga Horária: 25 horas Objetivos: Álgebra de oole e funções lógicas: Reconhecer o estado

Leia mais

Sistemas Digitais (SD) Elementos de Tecnologia Funções Lógicas

Sistemas Digitais (SD) Elementos de Tecnologia Funções Lógicas Sistemas Digitais (SD) Elementos de Tecnologia Funções Lógicas Aula Anterior Na aula anterior: Álgebra de Boole Operações básicas Propriedades Portas Lógicas Leis de DeMorgan Simplificação algébrica 2

Leia mais

Eletrônica (famílias lógicas) Prof. Manoel Eusebio de Lima

Eletrônica (famílias lógicas) Prof. Manoel Eusebio de Lima Eletrônica (famílias lógicas) Prof. Manoel Eusebio de Lima Famílias Lógicas RTL (Lógica Resistor-Transistor) (obsoleta) DL (Lógica Diodo) (obsoleta) DTL (Diode Transistor Logic) (obsoleta) TTL (Lógica

Leia mais

Circuitos Lógicos Combinacionais Capítulo 4

Circuitos Lógicos Combinacionais Capítulo 4 Circuitos Lógicos Combinacionais Capítulo 4 Os temas abordados nesse capítulo são: Conversão de expressões lógicas para expressões de soma-de-produtos. Projetos de circuitos lógicos simples. Álgebra booleana

Leia mais

Eletrônica Digital. Prof. Arthur Braga

Eletrônica Digital. Prof. Arthur Braga Eletrônica Digital Prof. Arthur Braga Tópicos Transistor Bipolar Características Básicas de CIs Digitais Terminologia de CIs Digitais A Família Lógica TTL Circuito Lógico Básico NAND TTL Ações de absorção

Leia mais

Prof. Leonardo Augusto Casillo

Prof. Leonardo Augusto Casillo UNIVERSIDADE FEDERAL RURAL DO SEMI-ÁRIDO CURSO: CIÊNCIA DA COMPUTAÇÃO Aula 4 Portas Lógicas Prof. Leonardo Augusto Casillo Analisando o circuito (1)... A Acesa Apagada S Apagada Acesa O emissor do transistor

Leia mais

Sistemas Digitais Elementos Básicos de Tecnologia

Sistemas Digitais Elementos Básicos de Tecnologia Sistemas Digitais Elementos Básicos de Tecnologia João Paulo Baptista de Carvalho (Prof. Auxiliar do IST) joao.carvalho@inesc.pt Portas Lógicas O interesse da matéria que se tem vindo a analisar reside

Leia mais

Eletrônica (famílias lógicas) Prof. Manoel Eusebio de Lima

Eletrônica (famílias lógicas) Prof. Manoel Eusebio de Lima Eletrônica (famílias lógicas) Prof. Manoel Eusebio de Lima Famílias Lógicas RTL (Lógica Resistor-Transistor) (obsoleta) DL (Lógica Diodo) (obsoleta) DTL (Diode Transistor Logic) (obsoleta) TTL (Lógica

Leia mais

Capítulo 4 Circuitos Lógicos Combinacionais

Capítulo 4 Circuitos Lógicos Combinacionais Capítulo 4 Circuitos Lógicos Combinacionais Conteúdo Simplificação de circuitos lógicos algebricamente Projeto circuitos lógicos combinacionais Mapas de Karnaugh Portas OR-exclusiva e NOR-exclusiva Características

Leia mais

Transistor. Portas Lógicas (2) Base; Coletor; Emissor.

Transistor. Portas Lógicas (2) Base; Coletor; Emissor. Nível da Lógica Digital Nível da Lógica Digital (Aula 6) Portas Lógicas e Lógica Digital Estudar vários aspectos da lógica digital Base de estudo para os níveis mais elevados da hierarquia das máquinas

Leia mais

SOLUÇÃO : 2) Converter os números da base 10 para a base 5. N1 = (134) 10 N2 = (245) 10. Resposta : N1 = (1014) 5 N2 = (1440) 5

SOLUÇÃO : 2) Converter os números da base 10 para a base 5. N1 = (134) 10 N2 = (245) 10. Resposta : N1 = (1014) 5 N2 = (1440) 5 LISTA D XRCÍCIOS D N-671 2004 Matéria da prova é referente a toda à matéria. As listas de exercícios aplicadas durante as aulas são parte integrante desta lista de exercícios, além dos exercícios do livro

Leia mais

Caracterização de Portas Lógicas

Caracterização de Portas Lógicas Caracterização de Portas Lógicas Versão 2015 1. Caracterização Elétrica e Temporal 1.1. Portas Lógicas e Circuitos Integrados Digitais As funções lógicas podem ser implementadas de maneiras diversas, sendo

Leia mais

Sistemas Digitais. 6 Funções lógicas

Sistemas Digitais. 6 Funções lógicas Para o estudo das funções lógicas usa-se a álgebra de Boole, assim chamada em homenagem ao seu criador George Boole. A álgebra de Boole opera com relações lógicas e não com relações quantitativas como

Leia mais

UNIVERSIDADE TECNOLÓGICA FEDERAL DO PARANÁ DEPARTAMENTO ACADÊMICO DE ELETROTÉCNICA ELETRÔNICA DIGITAL - ET75C - Profª Elisabete N Moraes

UNIVERSIDADE TECNOLÓGICA FEDERAL DO PARANÁ DEPARTAMENTO ACADÊMICO DE ELETROTÉCNICA ELETRÔNICA DIGITAL - ET75C - Profª Elisabete N Moraes 9/3/25 UNIVERSIDADE TECNOLÓGICA FEDERAL DO PARANÁ DEPARTAMENTO ACADÊMICO DE ELETROTÉCNICA ELETRÔNICA DIGITAL - ET75C - Profª Elisabete N Moraes LAB MANUSEIO DE CI S DIGITAIS & OPERAÇÕES E FUNÇÕES LÓGICAS

Leia mais

OBJETIVOS MATERIAL UTILIZADO

OBJETIVOS MATERIAL UTILIZADO OBJETIVOS Esta aula prática tem como objetivo apresentar aos alunos as portas lógicas TTL (Transistor-Transistor Logic). Através de montagens eletrônicas simples no protoboard, deverão ser verificados

Leia mais

Parte 2 CIRCUITOS COMBINACIONAIS

Parte 2 CIRCUITOS COMBINACIONAIS 1 DEFINIÇÕES Parte 2 CIRCUITOS COMBINACIONAIS DEFINIÇÕES 09/08/2017 1 09/08/2017 2 1 DEFINIÇÕES Formalismo matemático 1 DEFINIÇÕES Tipos de lógica binária Lógica booleana Dois valores Lógica binária Escopo

Leia mais

ESCOLA DE EDUCAÇÃO PROFISSIONAL SENAI PLÍNIO GILBERTO KRÖEFF FAMÍLIAS LÓGICAS

ESCOLA DE EDUCAÇÃO PROFISSIONAL SENAI PLÍNIO GILBERTO KRÖEFF FAMÍLIAS LÓGICAS 1.1- Introdução ESCOLA DE EDUCAÇÃO PROFISSIONAL SENAI PLÍNIO GILBERTO KRÖEFF FAMÍLIAS LÓGICAS Estudamos até o momento as diversas operações lógicas sem nos preocuparmos muito com os elementos utilizados

Leia mais

4.9 Características Básicas dos CIs Digitais

4.9 Características Básicas dos CIs Digitais CIs digitais são uma coleção de resistores, diodos e transistores fabricados em um pedaço de material semicondutor (geralmente silício), denominado substrato, comumente conhecido como chip. CIs digitais

Leia mais

Introdução aos Sistemas Digitais

Introdução aos Sistemas Digitais Introdução aos Sistemas Digitais LETI, LEE (2014/15 1º Sem.) João Paulo Carvalho joao.carvalho@inesc-id.pt Isto (ainda) não são sistemas digitais... Um interruptor (SPST- Single Pole, Single Throw) e uma

Leia mais

CARACTERIZAÇÃO DE PORTAS LÓGICAS

CARACTERIZAÇÃO DE PORTAS LÓGICAS CARACTERIZAÇÃO DE PORTAS LÓGICAS E.T.M./2001 (revisão) R.C.S./2002 (revisão) E.T.M./2003 (revisão da parte experimental) E.T.M./2004 (revisão) E.T.M. e R.C.S./2005 (reorganização) RESUMO Esta experiência

Leia mais

Nível da Lógica Digital (Aula 6) Portas Lógicas e Lógica Digital Nível da Lógica Digital Estudar vários aspectos da lógica digital Base de estudo para os níveis mais elevados da hierarquia das máquinas

Leia mais

SEL0384 Laboratório de Sistemas Digitais I

SEL0384 Laboratório de Sistemas Digitais I Escola de Engenharia de São Carlos Departamento de Engenharia Elétrica e de Computação SEL0384 Laboratório de Sistemas Digitais I Profa. Luiza Maria Romeiro Codá Sistemas Digitais: Introdução informações

Leia mais

FAMÍLIAS LÓGICAS. Terminologia dos Circuitos Integrados

FAMÍLIAS LÓGICAS. Terminologia dos Circuitos Integrados FAMÍLIAS LÓGICAS Introdução O desenvolvimento da tecnologia dos circuitos integrados, possibilitando a colocação num único invólucro de diversos componentes já interligados, veio permitir um desenvolvimento

Leia mais

Sistemas Digitais (SD) Elementos de Tecnologia Funções Lógicas

Sistemas Digitais (SD) Elementos de Tecnologia Funções Lógicas Sistemas Digitais (SD) Elementos de Tecnologia Funções Lógicas Aula Anterior Na aula anterior: Álgebra de Boole Operações básicas Propriedades Portas Lógicas Leis de DeMorgan Simplificação algébrica 2

Leia mais

Apostila de Sistemas Digitais e Computadores MÓDULOS I & II: REVISÃO ÁLGEBRA DE BOOLE.

Apostila de Sistemas Digitais e Computadores MÓDULOS I & II: REVISÃO ÁLGEBRA DE BOOLE. INSTITUTO SUPERIOR POLITÉCNICO METROPOLITANO DE ANGOLA DEPARTAMENTO DE CIÊNCIAS TECNOLÓGICAS E ENGENHARIAS Apostila de Sistemas Digitais e Computadores MÓDULOS I & II: REVISÃO ÁLGEBRA DE BOOLE. SDC LCC1N

Leia mais

Funções Lógicas I. José Costa. Introdução à Arquitetura de Computadores. Departamento de Engenharia Informática (DEI) Instituto Superior Técnico

Funções Lógicas I. José Costa. Introdução à Arquitetura de Computadores. Departamento de Engenharia Informática (DEI) Instituto Superior Técnico Funções Lógicas I José Costa Introdução à Arquitetura de Computadores Departamento de Engenharia Informática (DEI) Instituto Superior Técnico 2013-10-02 José Costa (DEI/IST) Funções Lógicas I 1 Sumário

Leia mais

SISTEMAS DIGITAIS CIRCUITOS FAMÍLIA LOGICAS E CIRCUITOS INTEGRADOS. Professor Carlos Muniz

SISTEMAS DIGITAIS CIRCUITOS FAMÍLIA LOGICAS E CIRCUITOS INTEGRADOS. Professor Carlos Muniz CIRCUITOS FAMÍLIA LOGICAS E CIRCUITOS Professor Carlos Muniz Introdução O desenvolvimento da tecnologia dos circuitos integrados, possibilitando a colocação num único invólucro de diversos componentes

Leia mais

CIRCUITOS COMBINATÓRIOS BÁSICOS

CIRCUITOS COMBINATÓRIOS BÁSICOS CIRCUITOS COMBINATÓRIOS BÁSICOS T.1 - INTRODUÇÃO A velocidade de um sistema digital depende da velocidade com que operam as portas. A velocidade de uma porta depende do atraso de propagação t pd (propagation-delay

Leia mais

Eletrônica Digital. Circuitos Combinacionais FACULDADE FUCAPI

Eletrônica Digital. Circuitos Combinacionais FACULDADE FUCAPI FACULDADE FUCAPI Eletrônica Digital Circuitos Combinacionais, M.Sc. Doutorando em Informática (UFAM) Mestre em Engenharia Elétrica (UFAM) Engenheiro de Telecomunicações (FUCAPI) Famílias Lógicas 2 Famílias

Leia mais

1. Sistemas de numeração e códigos 23

1. Sistemas de numeração e códigos 23 Sumário 1. Sistemas de numeração e códigos 23 1.1. Conceitos fundamentais 23 1.2. Representações numéricas 24 1.3. Representação de dados numéricos 25 1.4. Sistemas de números e bases numéricas 27 1.4.1.

Leia mais

CAPÍTULO 3 - PORTAS LÓGICAS

CAPÍTULO 3 - PORTAS LÓGICAS CAPÍTULO 3 - PORTAS LÓGICAS 1- OBJETIVO : Oferecer o primeiro contato com os circuitos integrados da família TTL e a análise do funcionamento das portas lógicas básicas. 2- INTRODUÇÃO : Nesta aula analisaremos

Leia mais

Caracterização de Portas Lógicas

Caracterização de Portas Lógicas Caracterização de Portas Lógicas Versão 2014 RESUMO Esta experiência tem como objetivo um estudo dos elementos básicos do nosso universo de trabalho, ou seja, as portas lógicas. Para isto serão efetuados

Leia mais

O Mundo Real é Analógico ou Digital?

O Mundo Real é Analógico ou Digital? Analógico / Digital O Mundo Real é Analógico ou Digital? O que define uma grandeza analógica? Os sinais analógicos podem tomar qualquer valor num intervalo contínuo de tensão, corrente, resistência ou

Leia mais

Pedroni Capítulo 10. Prof. Odilson Tadeu Valle

Pedroni Capítulo 10. Prof. Odilson Tadeu Valle Famílias Lógicas Pedroni Capítulo 10 Prof. Odilson Tadeu Valle Instituto Federal de Santa Catarina IFSC Campus São José odilson@ifsc.edu.br 1/45 Conteúdo programático 1 Introdução 2 Lógica Diodo-Transistor

Leia mais

CENTRO FEDERAL DE EDUCAÇÃO TECNOLÓGICA DE MINAS GERAIS UNIDADE DE ENSINO SUPERIOR CURSO DE ENGENHARIA INDUSTRIAL ELÉTRICA PLANO DE ENSINO

CENTRO FEDERAL DE EDUCAÇÃO TECNOLÓGICA DE MINAS GERAIS UNIDADE DE ENSINO SUPERIOR CURSO DE ENGENHARIA INDUSTRIAL ELÉTRICA PLANO DE ENSINO DISCIPLINA ELETRÔNICA DIGITAL I Validade: A partir de 0/0. Departamento Acadêmico de Engenharia Elétrica Código SELD101 Carga Horária total: 90h Teórica: 0h Laboratório: 30h Exercício: 00h Créditos: 0

Leia mais

Transistor como chave. DP - Exercícios

Transistor como chave. DP - Exercícios Transistor como chave. DP - Exercícios Introdução : Um transistor pode operar como uma chave eletrônica quando opera nas regiões do corte e da saturação. Dependendo da aplicação dessa chave alguns cuidados

Leia mais

13 CIRCUITOS DIGITAIS MOS

13 CIRCUITOS DIGITAIS MOS 13 CIRCUITOS DIGITAIS MOS 13.1. CONCEITOS BÁSICOS 13.1.1. Tecnologias de CIs Digitais e Famílias de Circuitos Lógicos Cada família é fabricada com uma mesma tecnologia, possui a mesma estrutura e oferece

Leia mais

3. CAPÍTULO LÓGICAS DIGITAIS

3. CAPÍTULO LÓGICAS DIGITAIS 3. CAPÍTULO LÓGICAS DIGITAIS 3.1. Introdução A Lógica é um conjunto de regras para raciocínio sobre um determinado assunto, ela é muito utilizada no ramo da Filosofia e da Matemática. 3.2. Portas lógicas

Leia mais

FAMÍLIAS DE CIRCUITOS LÓGICOS

FAMÍLIAS DE CIRCUITOS LÓGICOS FAMÍLIAS DE CIRCUITOS LÓGICOS Famílias lógicas consistem de um conjunto de circuitos integrados implementados para cobrir um determinado grupo de funções lógicas que possuem características de fabricação

Leia mais

Eletrônica Digital Portas Lógicas

Eletrônica Digital Portas Lógicas Eletrônica Digital Portas Lógicas ELETRÔNICA DIGITAL Portas Lógicas Expressões Booleanas Tabela Verdade Simbologia 3 Portas Lógicas As portas lógicas são componentes básicos da eletrônica digital usados

Leia mais

CIRCUITOS INTEGRADOS. Professor Adão de Melo Neto

CIRCUITOS INTEGRADOS. Professor Adão de Melo Neto CIRCUITOS INTEGRADOS Professor Adão de Melo Neto R = RESISTÊNCIA É A OPOSIÇÃO A CIRCULAÇÃO DA CORRENTE GERADA POR UMA TENSÃO OU DIFERENÇA DE POTENCIAL (medido em ohms) I = CORRENTE FLUXO DE ELÉTRONS DO

Leia mais

Fan Out (capacidade de acionamento) Tipos de Portas: buffer, 3-state, opencollector. » TTL: Transistor Transistor Logic» ECL: Emmiter Coupled Logic

Fan Out (capacidade de acionamento) Tipos de Portas: buffer, 3-state, opencollector. » TTL: Transistor Transistor Logic» ECL: Emmiter Coupled Logic Circuitos Integrados Características Principais: Tecnologia: CMOS, TTL, etc Velocidade Tensão, Margem de Ruído e Corrente Fan Out (capacidade de acionamento) Tipos de Portas: buffer, 3-state, opencollector

Leia mais

PCS 3115 (PCS2215) Sistemas Digitais I. Tecnologia CMOS. Prof. Dr. Marcos A. Simplicio Jr. versão: 3.0 (Jan/2016) Adaptado por Glauber De Bona (2018)

PCS 3115 (PCS2215) Sistemas Digitais I. Tecnologia CMOS. Prof. Dr. Marcos A. Simplicio Jr. versão: 3.0 (Jan/2016) Adaptado por Glauber De Bona (2018) PCS 3115 (PCS2215) Sistemas Digitais I Tecnologia CMOS Prof. Dr. Marcos A. Simplicio Jr. versão: 3.0 (Jan/2016) Adaptado por Glauber De Bona (2018) Nota: as imagens de Pokémons que aparecem nesta aula

Leia mais

Revisão: família lógica TTL

Revisão: família lógica TTL UNIVERSIDADE TECNOLÓGICA FEDERAL DO PARANÁ DEPARTAMENTO ACADÊMICO DE ELETROTÉCNICA ELETRÔNICA DIGITAL - ET75C Prof.ª Elisabete Nakoneczny Moraes Aula 3- TÉCNICAS DE SIMPLIFICAÇÃO Soma dos Produtos, Produto

Leia mais

Prof. Leonardo Augusto Casillo

Prof. Leonardo Augusto Casillo UNIVERSIDADE FEDERAL RURAL DO SEMI-ÁRIDO CURSO: CIÊNCIA DA COMPUTAÇÃO Aula 6 Álgebra de Boole Prof. Leonardo Augusto Casillo Álgebra de Boole (ou Boleana) Desenvolvida pelo matemático britânico George

Leia mais

Álgebra de Boole (ou Boleana) Circuitos Digitais Portas Lógicas e Álgebra de Boole. Álgebra de Boole: Tabela Verdade. Álgebra de Boole: funções

Álgebra de Boole (ou Boleana) Circuitos Digitais Portas Lógicas e Álgebra de Boole. Álgebra de Boole: Tabela Verdade. Álgebra de Boole: funções 6/3/2 Circuitos Digitais Portas Lógicas e Álgebra de Boole Prof. Abel Guilhermino Aula 3 (ou Boleana) Desenvolvida pelo matemático britânico George Boole para estudo da lógica. Definida sobre um conjunto

Leia mais

PLANIFICAÇÃO - CURSO PROFISSIONAL DE NÍVEL SECUNDÁRIO

PLANIFICAÇÃO - CURSO PROFISSIONAL DE NÍVEL SECUNDÁRIO AGRUPAMENTO DE ESCOLAS JOÃO DA SILVA CORREIA ANO LETIVO 2016-2017 PLANIFICAÇÃO - CURSO PROFISSIONAL DE NÍVEL SECUNDÁRIO MECATRÓNICA AUTOMÓVEL DISCIPLINA: TECNOLOGIAS E PROCESSOS 12º ANO GESTÃO DO TEMPO:

Leia mais

Sistemas Digitais Álgebra de Boole Binária e Especificação de Funções

Sistemas Digitais Álgebra de Boole Binária e Especificação de Funções Sistemas Digitais Álgebra de Boole Binária e Especificação de Funções João Paulo Baptista de Carvalho (Prof. Auxiliar do IST) joao.carvalho@inesc.pt Álgebra de Boole Binária A Álgebra de Boole binária

Leia mais

Dispositivos de Chaveamento

Dispositivos de Chaveamento Dispositivos de Chaveamento Raul Queiroz Feitosa Objetivo Ilustrar os conceitos, a estrutura e o comportamento dos circuitos lógicos que realizam as funções de chaveamento. 2 1 Conteúdo Introdução Representação

Leia mais

Códigos, Portas Lógicas e Comportamento Elétrico

Códigos, Portas Lógicas e Comportamento Elétrico Códigos, Portas Lógicas e Comportamento Elétrico Prof. Ohara Kerusauskas Rayel Disciplina de Eletrônica Digital - ET75C Curitiba, PR 26 de março de 2015 1 / 32 Códigos Código: Números, letras ou palavras

Leia mais

SEL0384 Laboratório de Sistemas Digitais I

SEL0384 Laboratório de Sistemas Digitais I Escola de Engenharia de São Carlos Departamento de Engenharia Elétrica e de Computação SEL0384 Laboratório de Sistemas Digitais I Profa. Luiza Maria Romeiro Codá Sistemas Digitais: Introdução informações

Leia mais

SEL0384 Laboratório de Sistemas Digitais I

SEL0384 Laboratório de Sistemas Digitais I Escola de Engenharia de São Carlos Departamento de Engenharia Elétrica e de Computação SEL0384 Laboratório de Sistemas Digitais I Profa. Luiza Maria Romeiro Codá Sistemas Digitais: Introdução informações

Leia mais

Circuitos Sequenciais: Circuitos Combinacionais: SISTEMAS DIGITAIS. Módulo 2 Prof. Celso

Circuitos Sequenciais: Circuitos Combinacionais: SISTEMAS DIGITAIS. Módulo 2 Prof. Celso 1 Circuitos Combinacionais: São circuitos cuja saída depende apenas dos valores das entradas. Circuitos Sequenciais: São circuitos cuja saída depende tanto do valor atual das entradas quanto do valor anterior

Leia mais

Capítulo 8. Famílias Lógicas e Circuitos Integrados Pearson Prentice Hall. Todos os direitos reservados. slide 1

Capítulo 8. Famílias Lógicas e Circuitos Integrados Pearson Prentice Hall. Todos os direitos reservados. slide 1 Capítulo 8 Famílias Lógicas e Circuitos Integrados slide 1 Temas abordados nesse capítulo são: Terminologia de CI Digital em tabelas de dados do fabricante. Características de várias séries TTL. Características

Leia mais

SISTEMAS DIGITAIS ELEMENTOS DE TECNOLOGIA

SISTEMAS DIGITAIS ELEMENTOS DE TECNOLOGIA ELEMENTOS DE TECNOLOGIA ELEMENTOS DE TECNOLOGIA - 2 SUMÁRIO: CIRCUITOS INTEGRADOS TECNOLOGIAS COMPONENTES TTL NÍVEIS LÓGICOS FAN-OUT E FAN-IN LÓGICA POSITIVA ELEMENTOS DE TECNOLOGIA - 3 CIRCUITOS INTEGRADOS

Leia mais

Curso Profissional de Técnico de Gestão de Equipamentos Informáticos 10º ANO

Curso Profissional de Técnico de Gestão de Equipamentos Informáticos 10º ANO Planificação Anual 2016/2017 Curso Profissional de Técnico de Gestão de Equipamentos Informáticos SISTEMAS DIGITAIS E ARQUITETURA DE COMPUTADORES 10º ANO 1 MÓDULO 1 - Sistemas de Numeração 32 aulas de

Leia mais

REFERENCIAIS DO CURSO CERTIFICADO DE NÍVEL 4 ELECTRÓNICA DIGITAL (75 H)

REFERENCIAIS DO CURSO CERTIFICADO DE NÍVEL 4 ELECTRÓNICA DIGITAL (75 H) REFERENCIAIS DO CURSO CERTIFICADO DE NÍVEL 4 ELECTRÓNICA DIGITAL (75 H) 1 UFCD 6024 Circuitos lógicos Carga horária: 25 horas Caracterizar as diferentes bases de numeração. Representar números nas bases

Leia mais

UFJF FABRICIO CAMPOS

UFJF FABRICIO CAMPOS Cap 8 ) Famílias Lógicas e Circuitos Integrados Estudaremos o funcionamento interno dos dispositivos de cada Família Lógica Os CIs são constituídos pelo conjunto de diversas portas digitais integradas

Leia mais

Eletrônica (MOS) Prof. Manoel Eusebio de Lima

Eletrônica (MOS) Prof. Manoel Eusebio de Lima Eletrônica (MOS) Prof. Manoel Eusebio de Lima Tecnologias de Circuitos Integrados MOS (Metal - Oxide - Silicon) nmos (N-type MOS) pmos (P-type MOS) CMOS (Complementary - type MOS) Transistor n-mos Em uma

Leia mais

Circuitos Digitais Álgebra de Boole

Circuitos Digitais Álgebra de Boole Circuitos Digitais Álgebra de Boole Álgebra de Boole (ou Booleana) Desenvolvida pelo matemático britânico George Boole para estudo da lógica. Definida sobre um conjunto de dois elementos: (falso, verdadeiro)

Leia mais

Definição. Elemento com uma ou mais entradas que produz um sinal de saída, função dos valores presentes na entrada.

Definição. Elemento com uma ou mais entradas que produz um sinal de saída, função dos valores presentes na entrada. Portas Lógicas Definição Elemento com uma ou mais entradas que produz um sinal de saída, função dos valores presentes na entrada. sinal de saída e o sinal de entrada tem a mesma característica eléctrica

Leia mais

LABORATÓRIO DE CIRCUITOS DIGITAIS. PREPARAÇÃO 02: Famílias Lógicas

LABORATÓRIO DE CIRCUITOS DIGITAIS. PREPARAÇÃO 02: Famílias Lógicas AEVSF Autarquia Educacional do Vale do São Francisco FACAPE Faculdade de Ciências Aplicadas e Sociais de Petrolina Curso de Ciência da Computação LABORATÓRIO DE CIRCUITOS DIGITAIS Prof. Sérgio F. Ribeiro

Leia mais

PCS 3115 (PCS2215) Objetivos Parte 1

PCS 3115 (PCS2215) Objetivos Parte 1 PCS 3115 (PCS2215) Sistemas Digitais I Módulo 04 Tecnologia CMOS Prof. Dr. Marcos. Simplicio Jr. versão: 3.1 (Jan/2018) Nota: as imagens de Pokémons que aparecem nesta aula são meramente ilustrativas.

Leia mais

3 - Operações Lógicas. Portas Lógicas, Expressões Lógicas e Circuitos Lógicos

3 - Operações Lógicas. Portas Lógicas, Expressões Lógicas e Circuitos Lógicos 3 - Operações Lógicas Portas Lógicas, Expressões Lógicas e Circuitos Lógicos 1 Introdução George Boole (1854) Álgebra de Boole Operações (disjunção), (conjunção) e (negação) Constantes: 0, 1 Axiomas: associatividade,

Leia mais

Introdução à Computação

Introdução à Computação Introdução à Computação Jordana Sarmenghi Salamon jssalamon@inf.ufes.br jordanasalamon@gmail.com http://inf.ufes.br/~jssalamon Departamento de Informática Universidade Federal do Espírito Santo Agenda

Leia mais

Introdução aos Trabalhos de Laboratório (Hardware/Software) Grupo:

Introdução aos Trabalhos de Laboratório (Hardware/Software) Grupo: Trabalho TP Trabalho Prático Introdução aos Trabalhos de Laboratório (Hardware/Software) Turma: Grupo: I Considere um circuito com o seguinte diagrama lógico: A B G C F a) Com o auxílio do software Xilinx

Leia mais

CIRCUITOS INTEGRADOS. Professor Adão de Melo Neto

CIRCUITOS INTEGRADOS. Professor Adão de Melo Neto CIRCUITOS INTEGRADOS Professor Adão de Melo Neto Revisão sobre Circuito Resistivo e Lei de Ohms R = RESISTÊNCIA É A OPOSIÇÃO A CIRCULAÇÃO DA CORRENTE GERADA POR UMA TENSÃO OU DIFERENÇA DE POTENCIAL (medido

Leia mais

Centro Federal de Educação Tecnológica de Pelotas CEFET-RS. Aula 04. Inversor CMOS. Prof. Sandro Vilela da Silva.

Centro Federal de Educação Tecnológica de Pelotas CEFET-RS. Aula 04. Inversor CMOS. Prof. Sandro Vilela da Silva. Centro Federal de Educação Tecnológica de Pelotas CEFET-RS Projeto Físico F Digital Aula 04 Inversor CMOS Prof. Sandro Vilela da Silva sandro@cefetrs.tche.br Copyright Parte dos slides foram realizados

Leia mais

Apostila de Eletrônica Digital ÍNDICE

Apostila de Eletrônica Digital ÍNDICE Apostila de Eletrônica Digital ÍNDICE 1- Introdução Era Digital---------------------------------------------------------- PG 3 2- Números Binários --------------------------------------------------------------

Leia mais

Eletrônica Digital para Instrumentação

Eletrônica Digital para Instrumentação G4 Eletrônica Digital para Instrumentação Prof. Márcio Portes de Albuquerque (mpa@cbpf.br) Prof. Herman P. Lima Jr (hlima@cbpf.br) Centro Brasileiro de Pesquisas Físicas Ministério da Ciência e Tecnologia

Leia mais

Eletrónica Digital. Fundamentos e Projeto. Acxcro MANUEL RAPOSO AMARAL. EDIÇÕES SíLABO

Eletrónica Digital. Fundamentos e Projeto. Acxcro MANUEL RAPOSO AMARAL. EDIÇÕES SíLABO Eletrónica Digital Fundamentos e Projeto Acxcro MANUEL RAPOSO AMARAL EDIÇÕES SíLABO , Indice Prefácio 13 Capítulo 1 Introdução 1.1. Abstração digital 1.2. Processo de conversão de um sinal analógico para

Leia mais

EXPERIMENTO 2: Portas Lógicas

EXPERIMENTO 2: Portas Lógicas DEE - Departamento de Engenharia Elétrica Laboratório de Circuitos Digitais I ELE 1065 EXPERIMENTO 2: Portas Lógicas OBS: A partir deste experimento se faz necessário levar o pré-lab. Pode-se utilizar

Leia mais

UNIVERSIDADE TECNOLÓGICA FEDERAL DO PARANÁ DEPARTAMENTO ACADÊMICO DE ELETROTÉCNICA ELETRÔNICA DIGITAL - ET75C - Profª Elisabete N Moraes

UNIVERSIDADE TECNOLÓGICA FEDERAL DO PARANÁ DEPARTAMENTO ACADÊMICO DE ELETROTÉCNICA ELETRÔNICA DIGITAL - ET75C - Profª Elisabete N Moraes UNVERSDADE TECNOLÓGCA FEDERAL DO PARANÁ DEPARTAMENTO ACADÊMCO DE ELETROTÉCNCA ELETRÔNCA DGTAL - ET75C - Profª Elisabete N Moraes ROTERO 3.3 NÍVES DE ENTRADA E SAÍDA DAS V E DOS CS DGTAS 2º semestre 2015

Leia mais

A B f(a, B) = A + B. A f(a ) = A

A B f(a, B) = A + B. A f(a ) = A Álgebra de Boole ESTV-ESI-Sistemas Digitais-Álgebra de Boole 1/7 A Álgebra de Boole é uma ferramenta matemática muito utilizada na representação e simplificação de funções binárias (ou lógicas), sendo

Leia mais

PORTAS LÓGICAS E TEOREMAS DE "DE MORGAN"

PORTAS LÓGICAS E TEOREMAS DE DE MORGAN Roteiro Laboratorial Nº 1 PORTAS LÓGICAS E TEOREMAS DE "DE MORGAN" BARROS, E. C. 1, NASCIMENTO, L. A. F. 1, MOURA, A. F. L. 1, Ciro J. Egoavil 2 1 Monitores da disciplina de Eletrônica I - DAEE, Fundação

Leia mais

SISTEMAS DIGITAIS (SD)

SISTEMAS DIGITAIS (SD) SISTEMAS DIGITAIS (SD) MEEC Acetatos das Aulas Teóricas Versão.0 - Português Aula N o 04: Título: Sumário: Elementos de Tecnologia e Funções Lógicas Elementos de tecnologia (circuitos integrados, amílias

Leia mais

CIRCUITOS INTEGRADOS. Professor Adão de Melo Neto

CIRCUITOS INTEGRADOS. Professor Adão de Melo Neto CIRCUITOS INTEGRADOS Professor Adão de Melo Neto LEI DE OHMS e CIRCUITOS RESISTIVOS I = CORRENTE FLUXO DE ELÉTRONS DO POLO PARA O + (medido em amperes: A) V = TENSÃO Capacidade de fluxo de elétrons (medido

Leia mais

DADOS DO COMPONENTE CURRICULAR

DADOS DO COMPONENTE CURRICULAR PLANO DE ENSINO DADOS DO COMPONENTE CURRICULAR Nome do Componente Curricular: Sistemas Digitais Curso: Técnico Integrado de Nível Médio em Informática Série/Período: 1º ano Carga Horária: 2 a/s - 80 h/a

Leia mais

ÁLGEBRA DE BOOLE B.1 - DIAGRAMA DE VENN

ÁLGEBRA DE BOOLE B.1 - DIAGRAMA DE VENN ÁLGEBRA DE BOOLE B.1 - DIAGRAMA DE VENN No século XIX Georges Boole desenvolveu uma teoria matemática com base nas leis da lógica - a Álgebra de Boole - cuja aplicação nos circuitos digitais e computadores

Leia mais

Abaixo descreveremos 6 portas lógicas: AND, OR, NOT, NAND, NOR e XOR.

Abaixo descreveremos 6 portas lógicas: AND, OR, NOT, NAND, NOR e XOR. 9. Apêndice - Portas e Operações Lógicas Uma porta lógica é um circuito eletrônico (hardware) que se constitui no elemento básico de um sistema de computação. A CPU, as memórias, as interfaces de E/S são

Leia mais

Sistemas Digitais / Sistemas Digitais I 7 Famílias Lógicas

Sistemas Digitais / Sistemas Digitais I 7 Famílias Lógicas Os Sistemas Digitais são constituídos a partir de portas. O principal factor determinante da velocidade com que um Sistema Digital pode funcionar é a velocidade com que operam as portas. O factor mais

Leia mais