Eletrônica Digital Mecatrônica 2º Etapa. Apostila de Eletrônica Digital

Tamanho: px
Começar a partir da página:

Download "Eletrônica Digital Mecatrônica 2º Etapa. Apostila de Eletrônica Digital"

Transcrição

1 Apostila de Eletrônica Digital Fevereiro de

2 Prefácio Esta apostila tem por objetivo servir como referência aos alunos do curso de mecatrônica na disciplina de Eletrônica Digital, e não substitui, de forma alguma, os diversos livros que tratam de tal assunto, e deve ser recebida pelo aluno, apenas como material de referência. Em uma época em que o desenvolvimento tecnológico e intelectual alcança níveis cada vez mais altos e com velocidade também cada vez maior, é importante que o aluno tenha consciência que a busca de novos conhecimentos, atualização dos conceitos básicos aqui apresentados, é uma tarefa pessoal sendo a escola apenas o meio que irá fornecer os instrumentos básicos pra tal busca, além de procurar incentivar os alunos. Desta forma, espera-se que o aluno desenvolva o habito de buscar incansavelmente sua atualização, pois, desta forma, suas chances de sucesso, profissional e também pessoal, em uma sociedade cada vez mais competitiva serão aumentadas. É importante que os conhecimentos adquiridos após a conclusão deste curso sejam aplicados em respeito aos limites da nossa sociedade e ao meio ambiente de forma direta e indireta. Espera-se que todos estes conhecimentos tragam frutos coletivos que irão promover o desenvolvimento de uma sociedade mais humana, justa e pacífica onde os interesses coletivos prevaleçam sobre os individuais e os interesses financeiros, que muitas vezes, infelizmente, superam a dignidade a sensatez dos homens, sejam dotados de menor importância. 2

3 1 Introdução Um sistema digital é um sistema no qual os sinais são compostos por um conjunto finito de valores discretos. Isto se contrapõe aos sistemas analógicos, nos quais os sinais possuem valores pertencentes a um conjunto infinito, ou seja, contínuo. Como exemplo elementar, uma balança digital mede o peso através de sinais discretos que indicam quilogramas e/ou gramas; por outro lado, uma balança analógica mede o peso através de um sinal correspondente à posição de um ponteiro sobre uma escala. Os sistemas digitais são usados no processamento de informação, também chamado de processamento de dados ou processamento de sinais, em que substituíram os sistemas analógicos anteriores. Alguns dos benefícios dos sistemas digitais são: A representação digital é bem adequada tanto para o processamento numérico como para o não numérico de informação. Um exemplo de informação digital não-numérica é a linguagem escrita, na qual as letras têm valores do alfabeto finito A, B, C,... etc. O processamento da informação pode ser realizado através de um sistema para propósitos gerais, por exemplo, um computador, que seja programado para uma tarefa de processamento particular, eliminando a necessidade de haver um sistema diferente para cada tarefa; O número finito de valores num sinal digital pode ser representado por um vetor de sinais com apenas dois valores, sinais binários. Por exemplo, os dez algarismos do sistema decimal podem ser representados pelos seguintes valores em binário: Dígito Valor binário Esta representação permite implementações nas quais todos os sinais são binários; conseqüentemente, os dispositivos que processam estes sinais são muito simples, fundamentalmente, apenas chaves com dois estados: aberto e fechado; Os sinais digitais são bastante insensíveis a variações nos valores dos parâmetros dos componentes e ao ruído elétrico, uma vez que são constituídos de dois estados bem definidos, na maioria dos casos 0 V para o estado lógico zero e 5 V para o estado lógico um; Os avanços da tecnologia microeletrônica possibilitaram a fabricação de sistemas digitais extremamente complexos que são pequenos, rápidos e baratos. Métodos de representação e processamento digitais são usados há muito tempo. O desenvolvimento de artefatos digitais sofreu um dramático aumento com a invenção do computador digital por volta de Em comparação com os padrões atuais, naquela época, os computadores apresentavam custo proibitivo, tinham pequeno poder de processamento, eram pouco confiáveis e difíceis de programar e, consequentemente, 3

4 operar. Desde então, um extraordinário progresso foi feito em todos estes aspectos, tornando o computador indispensável em quase todos os campos da sociedade moderna, tornando-se um instrumento indispensável em tal sociedade. O desenvolvimento da tecnologia, em especial, da microeletrônica digital, tornou possível a produção eficiente e com custo reduzido de uma grande variedade de sistemas digitais especializados. Alguns exemplos são relógios e cronômetros digitais, calculadoras, videogames, máquinas fotográficas, equipamentos de comunicação, gravação digital de música e vídeo, e etc. Esta tendência prossegue, uma vez que novas aplicações para sistemas digitais são constantemente desenvolvidas, em alguns casos, as novas aplicações estão substituindo os sistemas analógicos, mas em muitos outros eles estão tornando possíveis aplicações que não existiam anteriormente. Como conseqüência é necessário o conhecimento sobre o projeto e o uso de sistemas digitais numa grande variedade de atividades humanas. 1.1 Sinais digitais e analógicos O sinal de tensão apresentado na Figura 1 é chamado de sinal analógico. O nome deriva do fato de tal sinal ser análogo ao sinal físico que representa. A amplitude de um sinal analógico pode ter qualquer valor; isto é, a amplitude de um sinal analógico exibe uma variação contínua sobre sua faixa de atuação. A grande maioria dos sinais no mundo é analógica. Circuitos eletrônicos que processam tais sinais são conhecidos como circuitos analógicos. Figura 1 Sinal analógico. Uma forma alternativa de representação de sinais é por meio de uma seqüência de números discretizados, cada número representando um valor de sinal em cada instante de tempo. O sinal resultante é chamado de sinal digital. Para entender como um sinal pode ser representado nessa forma, isto é, como os sinais podem ser convertidos da forma analógica para a forma digital, observe a Figura 2. Nela a curva representa um sinal de tensão, idêntico ao da Figura 1. Em intervalos iguais no eixo do tempo marcamos os instantes t 0, t 1, t 2 e assim por diante. Em cada um desses instantes é medida a amplitude do sinal, em um processo conhecido como amostragem. O sinal da Figura 2 é definido apenas nos instantes de amostragem; ele já não é mais função contínua no tempo, em vez disso é um sinal discreto no tempo. Contudo, visto que a 4

5 amplitude de cada amostra pode ser tomada com valores em uma faixa contínua, sobre o eixo v(t), o sinal da Figura 2 é ainda um sinal analógico. Figura 2 Sinal analógico discreto no tempo. Agora, se representarmos a amplitude de cada amostra do sinal na figura anterior por um número com dígitos finitos, então a amplitude do sinal não será mais contínua; ao contrário, ela será considerada quantizada, discretizada ou digitalizada, Figura 3. Então o sinal digital resultante será simplesmente uma seqüência de números que representa as amplitudes das sucessivas amostras do sinal. Figura 3 Sinal digital. A escolha do sistema numérico que representa as amostras do sinal analógico afeta o tipo de sinal digital produzido e tem um impacto significativo na complexidade dos circuitos digitais necessários aos processamento e ao armazenamento de tais sinais. Verifica-se que o sistema numérico binário resulta em sinais digitais e circuitos de processamento mais simples. No sistema binário, cada dígito do número pode assumir um de dois valores possíveis, denominados 0 e 1. Por decorrência, os sinais digitais 5

6 em sistemas binários necessitam apenas de dois níveis de tensão, denominados alto e baixo, de acordo com a tabela a seguir: Tabela 1 - Níveis de representação de um sinal digital. Nível Representação lógica Representação elétrica Baixo 0 (zero) 0 V Alto 1 (um) +5 V Ressalta-se que na tabela anterior está apresentado apenas a representação elétrica para o padrão TTL 1, na prática existem outros padrões que se diferenciam pelo valor de tensão para cada um dos níveis lógicos. Em toda esta apostila será considerado o padrão TTL. 1 TTL Transistor-Transistor-Logic, se referem aos circuitos integrados digitais compostos por transistores onde os níveis de tensão permitidos são 0 V e +5 V, para os níveis lógicos zero e um, respectivamente. 6

7 2 Sistemas de numeração Os sistemas de numeração classificam-se em dois grupos básicos que são os sistemas de numeração posicional e os sistemas de numeração não posicional. No sistema posicional o valor do dígito depende da posição que o mesmo ocupa no número. Quando se considera o número 1987 como exemplo, pode-se afirmar que se o número estiver escrito em decimal, pela ordenação dos quatro dígitos, 1º, 2º, 3º e 4º o número 1 não representa uma unidade, mas mil unidades; o número um representaria uma unidade somente se estivesse na posição mais à direita, no lugar do sete. O número 1987 pode ser decomposto como a soma de 1000 (1x10 3 ) com 900 (9x10 2 ) com 80 (8x10 1 ) com 7 (7x10 0 ), ou seja, há um peso para cada posição do número, com o peso crescendo da direita para a esquerda em potências de 10, a partir de Na representação posicional obtém-se uma forma simplificada de escrita para os números. Ao invés de um símbolo para cada número, pode-se representar qualquer número com um alfabeto restrito de dígitos ou símbolos. O sistema decimal tem um alfabeto de dez dígitos: 0, 1, 2, 3, 4, 5, 6, 7, 8 e 9. Sendo que qualquer número pode ser representado com estes dígitos. Da mesma forma, podemos definir um sistema binário, ou sistema de base dois, como aquele que tem um alfabeto de dois dígitos: 0 e 1. Analogamente a base 10, na qual o peso das posições é potências de 10, na base 2 os pesos são potências de 2. O mesmo raciocínio pode ser utilizado para outras bases como apresentado nos exemplos a seguir: Exemplo 1: Sistema Posicional Decimal Base 10 Alfabeto = {0, 1, 2, 3, 4, 5, 6, 7, 8, 9} Exemplo 2: Sistema Posicional Binário Base 2 Alfabeto = {0, 1} Exemplo 3: Sistema Posicional Octal Base 8 Alfabeto = {0, 1, 2, 4, 5, 6, 7} Exemplo 4: Sistema Posicional Hexadecimal Base 16 Alfabeto = {0, 1, 2, 3, 4, 5, 6, 7, 8, 9, A, B, C, D, E, F} Como sistema de numeração não posicional tem-se o sistema Romano, onde o valor dos símbolos não guarda nenhuma relação com a posição destes em um número. 2.1 Mudança de base A seguir são apresentados os procedimentos para se obter uma transformação da base 10 para outra base qualquer ou de uma base qualquer para a base 10. Uma transformação entre duas bases deferentes da base 10 pode ser feita passando-se intermediariamente pela base 10. 7

8 Transformação de decimal, base 10, para uma base qualquer: Deve-se dividir o inteiro decimal repetidamente pela nova base, para a qual se deseja a transformação. A cada divisão deve-se guardar o resto, que será o dígito correspondente do alfabeto da nova base. A divisão deve ser interrompida quando o quociente inteiro for menor que o divisor. O último quociente e os restos de cada divisão, tomados no sentido do último para o primeiro, representam o número transformado, de acordo com os exemplos abaixo: Exemplo 4 Decimal para a base 5: Transformar (342) 10 para a base 5. Ressalta-se que o processo de divisões sucessivas é interrompido no instante em que se obtém o quociente menor que o divisor. Na última divisão (13 5) o quociente obtido 2 é menor que o divisor 5. Neste instante o processo é interrompido. Lendo no sentido do último quociente para o primeiro resto tem-se 2332 e então (342) 10 = (2332) 5. Exemplo 5 Decimal para base 16: Transformar (2798) 10 para a base 16. Para a base 16 é importante ressaltar que 10 equivale a A, 11 a B, 12 a C, 13 a D, 14 a E, 15 a F. Portanto, (2798) 10 = (AEE) 16. Exemplo 6 Decimal para binário: Transformar (55) 10 para a base 2. Desta forma (55) 10 = (110111) 2. Transformação de uma base qualquer para decimal, base 10: Neste tipo de transformação deve-se converter cada um dos algarismos que compõem o número em seu equivalente decimal, de acordo com a estrutura posicional, 8

9 e efetuar as somas dos números obtidos para obter o número na base decimal. Alguns exemplos são apresentados a seguir: Exemplo 1 Binário para decimal: Transformar (1101) 2 para a base 10. (1101) 2 = 1x x2 2 +0x2 1 +1x2 0 = = 13 (1101) 2 = (13) 10 Exemplo 2 - Octal para decimal: Transformar (23) 8 para a base 10. (23) 8 = 2x x8 0 = = 19 (23) 8 = (19) 10 Exemplo 3 - Hexadecimal para decimal: Transformar (10B5) 16 para a base 10. (10B5) 16 = 1x x x x16 0 = 4277 (10B5) 16 = (4277) 10 9

10 3 Álgebra de Boole George Boole ( ), matemático e filósofo britânico, no século XIX investigou as leis fundamentais das operações da mente humana ligadas ao raciocínio e criou a Álgebra Booleana, base da atual aritmética computacional. O objetivo da Álgebra de Boole passa pela definição de uma série de símbolos, com a finalidade de representar objetivos ou fenômenos que, encadeados convenientemente, dão lugar a expressões matemáticas mais complexas denominadas funções. Enquanto que a álgebra tradicional opera com relações quantitativas, a álgebra de Boole opera com relações lógicas. Como exemplo, podemos considerar os operadores + e x, e verificar que possuem significados diferentes conforme sejam utilizados na álgebra tradicional ou na Álgebra Booleana, Tabela 2. Tabela 2 - Diferença de significado dos operadores. Operador Álgebra tradicional Álgebra Booleana + Adição OU, OR x ou g Multiplicação E, AND Enquanto que na álgebra tradicional as variáveis podem assumir qualquer valor, na álgebra booleana, as variáveis, aqui denominadas por variáveis binárias, apenas podem assumir um de dois valores binários, 0 ou 1. Estes valores binários não exprimem quantidades, mas apenas, e somente, estados do sistema. 3.1 Função lógica binária A toda a variável binária, cujo valor depende de uma expressão algébrica, formada por outras variáveis binárias relacionadas por operadores lógicos, dá-se o nome de função lógica. Temos como exemplo a equação abaixo, onde Y representa a variável binária dependente e a, b e c as variáveis binárias independentes. Y = f( a, b, c) = a b+ b c Outra forma de representar uma função lógica é através da utilização de um circuito elétrico, Figura 4. Nesta representação, as variáveis binárias são introduzidas através de interruptores, chaves, com duas posições: ligada e desligada. Figura 4 Circuito lógico. Nestas topologias é normal o uso de dois tipos de interruptores, Figura 5: 10

11 Interruptor normalmente aberto, ou NA No estado natural, ou seja, sem atuação o mesmo mantém o circuito aberto não permitindo a passagem de corrente. Quando acionado fecha o circuito permitindo a passagem da corrente; Interruptor normalmente fechado, ou NF No estado natural o mesmo mantém o circuito fechado permitindo a passagem de corrente. Quando acionado abre o circuito interrompendo a passagem da corrente. Figura 5 Tipos de interruptores. 3.2 Tabela verdade Para uma função Y = f (a,b,...) a tabela verdade é um quadro formado por tantas colunas quantas são as variáveis binárias independentes, as entradas (a, b, ), e uma última coluna correspondente à variável binária dependente, a saída, Y. O número de linhas de uma tabela verdade é dado por 2 N, onde N é o número de variáveis binárias independentes, entradas, garantindo assim todas as combinações possíveis destas variáveis. A tabela verdade representa o estado da saída, variável binária dependente Y, em função das entradas, variáveis binárias independentes. Para todas as combinações possíveis de estados das variáveis binárias independentes existe um estado da variável binária dependente, ou seja, para toda combinação das variáveis de entrada existe um valor, estado, para a variável de saída. Como exemplo, podemos ver a Tabela 3 que representa a função lógica binária apresentada anteriormente, Y = f( a, b, c) = a b+ b c. O número de entradas é 3 (a, b e c), logo a tabela tem 2 3 = 8 linhas. Tabela 3 Tabela verdade. a b c Y Funções Booleanas básicas A seguir são apresentadas as funções Booleanas básicas, suas tabelas verdade, símbolos e os diagramas elétricos equivalentes. 11

12 3.3.1 Função igualdade Ya ( ) = a a Y Função OU ( OR ) Yab (, ) = a+ b a b Y Função E ( AND ) Yab (, ) = ab a b Y Função NÃO ( NOT ) ou função inversora Ya ( ) = a a Y Função NÃO-E ( NAND ) Yab (, ) = ab a b Y Função NÃO-OU ( NOR ) Yab (, ) = a+ b a b Y

13 3.3.7 Função OU-EXCLUSIVO ( XOR ) Eletrônica Digital Yab (, ) = ab + ab a b Y Circuitos integrados que implementam portas lógicas Neste item são apresentados alguns circuitos integrados que implementam as portas lógicas apresentadas no item anterior. Serão apresentados os CI s, sigla para Circuito Integrado, a disposição das portas no interior dos mesmos e, consequentemente, a funções de cada um dos pinos dos mesmos Circuito integrado SN74LS32 Este circuito integrado é composto por 4 portas OU ( OR ), sua aparência física e a disposição das portas no interior do mesmo são apresentados na Figura 6. Figura 6 - Circuito integrado SN74LS Circuito integrado SN74LS08 Este circuito integrado é composto por 4 portas E ( AND ), sua aparência física e a disposição das portas no interior do mesmo são apresentados na Figura 7. 13

14 Figura 7 - Circuito integrado SN74LS Circuito integrado SN74LS04 Este circuito integrado é composto por 6 portas NÃO ( NOT ), ou inversoras, sua aparência física e a disposição das portas no interior do mesmo são apresentados na Figura Circuito integrado SN74LS00 Figura 8 - Circuito integrado SN74LS04. Este circuito integrado é composto por 4 portas NÃO-E ( NAND), sua aparência física e a disposição das portas no interior do mesmo são apresentados na Figura Circuito integrado SN74LS02 Figura 9 - Circuito integrado SN74LS00. Este circuito integrado é composto por 4 portas NÃO-OU ( NOR ), sua aparência física e a disposição das portas no interior do mesmo são apresentados na Figura

15 Figura 10 - Circuito integrado SN74LS Circuito integrado SN74LS86 Este circuito integrado é composto por 4 portas OU-EXCLUSIVO ( XOR ), sua aparência física e a disposição das portas no interior do mesmo são apresentados na Figura 11. Figura 11 - Circuito integrado SN74LS86. Em relação aos circuitos integrados apresentados nos itens anteriores ressalta-se que os pinos 1 e 14 tem a função de alimentação destes componentes, desta forma, deve-se aplicar nestes pinos uma diferença de tensão de 5 V, sendo que o pino 7 é ligado ao negativo, GND, e o pino 14 no +5 V. Esta disposição dos pinos de alimentação é padrão na família 74 de circuitos integrados que seguem o padrão TTL Formas Canônicas de uma função lógica Uma função lógica binária Yab (,,...) é dita estar representada na 1ª forma canônica se Y estiver escrita como a soma de produtos, nos quais aparecem todas as variáveis binárias em cada um dos termos, denominados MINTERMOS, que constituem a expressão, na forma direta ou negada, como apresentado abaixo: Somas Yabc (,, ) = ( abc ) + ( abc ) + ( abc ) 15

16 Uma função lógica binária Yab (,,...) é dita estar representada na 2ª forma canônica se Y estiver escrita como produto de somas, nos quais aparecem todas as variáveis binárias em cada um dos termos, denominados MAXTERMOS, que constituem a expressão, em forma direta ou negada, como apresentado a seguir: Produtos s Yabc (,, ) = ( a+ b+ c) ( a+ b+ c) ( a+ b+ c) Somas Para obter a função lógica nas suas formas canônicas diretamente da tabela de verdade basta seguir as regras descritas abaixo: 1ª forma canônica - Obtém-se somando todos os produtos lógicos, ou mintermos, formados das linhas da tabela de verdade que dão à função Y o valor lógico 1, neste caso deve-se negar, ou barrar, todas as variáveis da linha em questão que possuem valor lógico 0 ; 2ª forma canônica - Obtém-se multiplicando todas as somas lógicas, ou maxtermos, formadas das linhas da tabela de verdade que dão à função Y o valor lógico 0, neste caso deve-se negar, ou barrar, todas as variáveis da linha em questão que possuem valor lógico 1 ; Como exemplo considere a tabela verdade a seguir: a b c Y Linha Linha Linha Linha Linha Linha Linha Linha Considerando que o valor lógico de Y é 1 nas linhas 1, 6 e 7, a expressão de Y na 1ª forma canônica, soma de mintermos, é: Yabc (,, ) = ( abc ) + ( abc ) + ( abc ) E considerando que o valor lógico de Y é 0 nas linhas 2, 3, 4, 5 e 8, a expressão de Y na 2ª forma canônica, multiplicação de maxtermos, é: Yabc (,, ) = ( a+ b+ c) ( a+ b+ c) ( a+ b+ c) ( a+ b+ c) ( a+ b+ c) 3.6 Simplificações de funções Booleanas Produtos 16

17 A simplificação de funções lógicas Booleanas é importante, pois, através de uma expressão mais simples o circuito utilizado para representar esta função também se torna mais simples, utilizando, conseqüentemente, um menor número de componentes. É importante ressaltar, que quanto menor for o número de componentes utilizados, menor será o custo final do circuito e menor será o atraso entre o sinal de entrada e a saída. Portanto, formas simplificadas para os circuitos são sempre utilizadas para obtenção do produto final, aumentando a eficiência do mesmo, reduzindo custos e tempo de produção. Uma forma de simplificar o número de termos de uma função é através do método gráfico ou de Karnaugh. Outros métodos, como o método algébrico e o método numérico de Quine-McCluskey também podem ser utilizados, sendo que o algébrico demanda maior experiência com os teoremas e postulados da álgebra de Boole e o método numérico, geralmente, é aplicado quando o número de variáveis é elevado. Nesta apostila será abordado o método gráfico de Karnaugh Método gráfico de Karnaugh Este método é relativamente simples e eficaz até quatro variáveis, acima disto, embora este método possa ser utilizado, é pouco prático. Um mapa de Karnaugh é uma matriz com 2 N células, sendo N é o número de variáveis do problema e onde cada célula está associada a uma combinação possível das entradas, em outras palavras, a uma linha da tabela verdade. Para três variáveis, por exemplo, o mapa de Karnaugh é um conjunto de 8 células, já que existem 8 linhas na tabela verdade. As células do mapa de Karnaugh são preenchidas de modo que as combinações de entrada logicamente adjacentes sejam, também, graficamente adjacentes. Duas combinações são logicamente adjacentes quando diferem pelo estado lógico de apenas uma única variável, por exemplo, as combinações m = A B C D e 1 m3 = A B C D, são logicamente adjacentes, pois diferem apenas pela variável C, em m 1 a variável C aparece negada e em m 3 ela aparece não negada, as outras variáveis permanecem inalteradas Representação das funções nos Mapas de Karnaugh Duas situações podem ocorrer quando se representa uma função no mapa de Karnaugh: ou a função está na 1ª forma canônica ou então na 2ª forma canônica. Quando a função está na 1ª forma canônica basta colocar 1 nas células associadas aos mintermos que a compõem e 0 nas restantes. Quando a função está na 2ª forma canônica basta colocar 0 nas células associadas aos índices de maxtermos que compõem a função e 1 nas restantes. Regra para montagem do mapa de Karnaugh: 1) Desenhar o mapa de acordo com o número de variáveis de acordo com a Figura 12: 17

18 Figura 12 Montagem do mapa de Karnaugh. 2) Considerando o preenchimento a partir da tabela verdade, preencher com 0 ou 1 cada uma das células de acordo com a linha correspondente na tabela: Figura 13 - Preenchimento do mapa de Karnaugh. Considerando a 1ª forma canônica têm-se as seguintes regras para simplificação: Regra para simplificação através da 1ª Forma Canônica: 1) Identificar as células ocupadas por 1 ; 2) Formar os grupos de células ocupadas por 1, estes grupos devem conter o maior número possível de células, desde que este número seja sempre uma potência de 2, por exemplo, só é permitida a formação de grupos que tenham 1, 2, 4, 8, 16, 32,... elementos; 3) Os grupos devem ter sempre a forma de quadrados ou retângulos; 4) A mesma célula pode participar da formação de dois ou mais grupos diferentes; 5) Os elementos da coluna da esquerda são adjacentes aos elementos da coluna da direita. Da mesma forma, os elementos da linha superior do mapa são, também, adjacentes aos da linha inferior; 6) Sempre que um grupo é formado, a variável que muda de estado é eliminada; 18

19 7) A cada grupo de 1 corresponde um termo da expressão final; 8) A variável é representada na forma negada quando o valor lógico dela for 0, ou 1 quando o valor for direto, não negado. Por exemplo, considere a tabela verdade apresentada a seguir: a b c d Y Que possui a seguinte expressão Booleana na 1ª forma canônica: Yabcd (,,, ) = ( abcd ) + ( abcd ) + ( abcd ) + ( abcd ) + ( abcd ) + ( abcd ) Sua representação pelo mapa de Karnaugh e a expressão simplificada obtida através do mesmo é apresentada abaixo. Yabcd (,,, ) = ( bcd ) + ( acd ) + ( abd ) Para segunda forma canônica o procedimento para montagem e preenchimento do mapa são as mesmas, diferindo apenas na forma de interpretação do mesmo, consequentemente no procedimento de simplificação. Regra para simplificação através da 2ª Forma Canônica: 1) Identificar as células ocupadas por 0 ; 19

20 2) Formar os grupos de células logicamente adjacentes ocupadas por 0, estes grupos devem conter o maior número possível de células logicamente adjacentes, desde que este número seja sempre uma potência de 2, por exemplo, só é permitida a formação de grupos que tenham 1, 2, 4, 8, 16, 32,... elementos; 3) Os grupos devem ter sempre a forma de quadrados ou retângulos; 4) A mesma célula pode participar da formação de dois ou mais grupos diferentes; 9) Os elementos da coluna da esquerda são adjacentes aos elementos da coluna da direita. Da mesma forma, os elementos da linha superior do mapa são, também, adjacentes aos da linha inferior; 5) Sempre que um grupo é formado, a variável que muda de estado é eliminada; 6) A cada grupo de 0 corresponde um termo da expressão final; 7) A variável é representada na forma negada quando o valor lógico dela for 1, ou 0 quando o valor for direto, não negado. Como exemplo considere a tabela verdade do exemplo anterior, com a expressão Booleana na 2ª forma canônica abaixo: Yabcd (,,, ) = ( a+ b+ c+ d) ( a+ b+ c+ d) ( a+ b+ c+ d) ( a+ b+ c+ d) ( a+ b+ c+ d) ( a+ b+ c+ d) ( a+ b+ c+ d) ( a+ b+ c+ d) ( a+ b+ c+ d) ( a+ b+ c+ d) O mapa de Karnaugh, juntamente com sua expressão simplificada são apresentados abaixo. Yabcd (,,, ) = ( a+ b) ( a+ c+ d) ( a+ b+ c) ( a+ c+ d) ( a+ c+ d) Portanto, pode-se concluir através dos exemplos acima que a simplificação pela 1ª forma levou a uma expressão mais simples e conseqüentemente a um circuito com um menor número de componentes para implementar a mesma função lógica. 20

21 4 Diagramas de tempo de circuitos digitais Os diagramas de tempo de circuitos digitais consistem em uma forma de representar a variação de saída de uma porta lógica, ou qualquer circuito digital mais complexo, em função do tempo. Estes diagramas são compostos por várias linhas representando cada uma das entradas e uma ou mais linhas que representa(m) a(s) saída(s). No eixo vertical tem-se a informação da variação dos sinais de entrada e saída e no eixo horizontal a informação de tempo. Um exemplo de diagrama de tempo é apresentado na Figura 14. Figura 14 - Exemplo de um diagrama de tempo para um circuito digital. Para interpretar este tipo de diagrama, em relação à figura anterior, basta considerar o valor das entradas em um determinado período de tempo e as saídas pertinentes. Por exemplo, entre os instantes T 0 e T 1 a entrada a está em nível alto, as entradas b e c em nível baixo, o que leva as saídas a e b aos estados alto e baixo, respectivamente. Desta forma, pode-se avaliar o comportamento do circuito para cada período de tempo de interesse. No exemplo a seguir é apresentada a variação do sinal de saída para uma porta do tipo E ( AND ), Figura 15. Nesta figura é possível observar que o estado da saída Y só foi a nível alto, quando ambas as entradas a e b estavam em nível alto, ou seja, quando ambas as entradas são 1, este comportamento está de acordo com a tabela verdade para este tipo de porta, conforme pode ser observado no item

22 Figura 15 - Diagrama de tempo para uma porta "E" ("AND"). 22

23 Primeira lista de Exercícios de Eletrônica Digital Entrega: 1 Qual a principal diferença entre sinais analógicos e digitais? 2 Cite algumas vantagens do uso de sistemas digitais? 3 Qual a diferença entre um sinal contínuo e um sinal discreto no tempo? 4 Por que um sinal discreto no tempo não pode ser considerado como um sinal digital? O que falta, neste caso, para que o sinal seja considerado digital? 5 É verdadeira a afirmação de que no processo de digitalização de um sinal existe perda de informação? Por quê? 6 Por que o sistema de numeração binário é mais adequado aos sistemas digitais? 7 Realize as seguintes mudanças de base: a) (1256) 10 = (? ) 2 b) (753) 10 = (? ) 2 c) (880) 10 = (? ) 8 d) (569) 10 = (? ) 8 e) (65535) 10 = (? ) 16 f) (1024) 10 = (? ) 16 g) (11001) 2 = (? ) 10 h) ( ) 2 = (? ) 10 i) (562) 8 = (? ) 10 j) (1023) 8 = (? ) 10 k) (1AF) 16 = (? ) 10 l) (255B) 16 = (? ) 10 8 Represente as expressões booleanas a seguir através do seu circuito lógico, ou seja, utilizando os símbolos das portas lógicas? Importante: Utilize apenas portas com duas entradas e uma saída. a) Yabc (,, ) = a+ ( bc ) b) Yabc (,, ) = ( abc ) + ( ac ) c) Yabcd (,,, ) = ( a+ b) ( c+ d) ( a+ d) 23

Circuitos Seqüenciais: Latches e Flip-Flops. Fabrício Noveletto

Circuitos Seqüenciais: Latches e Flip-Flops. Fabrício Noveletto Circuitos Seqüenciais: Latches e Flip-Flops Fabrício Noveletto Objetivos Usar portas lógicas para construir latches básicos Explicar a diferença entre um latch S-R e um latch D Reconhecer a diferença entre

Leia mais

Circuitos Digitais Cap. 6

Circuitos Digitais Cap. 6 Circuitos Digitais Cap. 6 Prof. José Maria P. de Menezes Jr. Objetivos Flip-Flops e Dispositivos Correlatos Latch com portas NAND Latch com portas NOR Sinais de Clock e Flip-Flops com Clock Flip-Flop S-C

Leia mais

PROGRAMAÇÃO EM LINGUAGEM LADDER LINGUAGEM DE RELÉS

PROGRAMAÇÃO EM LINGUAGEM LADDER LINGUAGEM DE RELÉS 1 PROGRAMAÇÃO EM LINGUAGEM LADDER LINGUAGEM DE RELÉS INTRODUÇÃO O processamento interno do CLP é digital e pode-se, assim, aplicar os conceitos de lógica digital para compreen8 der as técnicas e as linguagens

Leia mais

Portas Lógicas Básicas Prof. Rômulo Calado Pantaleão Camara. Carga Horária: 2h/60h

Portas Lógicas Básicas Prof. Rômulo Calado Pantaleão Camara. Carga Horária: 2h/60h Portas Lógicas Básicas Prof. Rômulo Calado Pantaleão Camara Carga Horária: 2h/60h Colegiado de Engenharia da Computação CECOMP Introdução à Algebra de Boole Em lógica tradicional, uma decisão é tomada

Leia mais

Capítulo VIII Registradores de Deslocamento e Contadores

Capítulo VIII Registradores de Deslocamento e Contadores Capítulo VIII Registradores de Deslocamento e Contadores 1 Introdução Vimos no capítulo anterior que flip-flops são dispositivos capazes de memorizar o seu estado (SET ou RESET). Neste capítulo estudaremos

Leia mais

Escola Secundária c/3º CEB José Macedo Fragateiro. Curso Profissional de Nível Secundário. Componente Técnica. Disciplina de

Escola Secundária c/3º CEB José Macedo Fragateiro. Curso Profissional de Nível Secundário. Componente Técnica. Disciplina de Escola Secundária c/3º CEB José Macedo Fragateiro Curso Profissional de Nível Secundário Componente Técnica Disciplina de Sistemas Digitais e Arquitectura de Computadores 29/21 Módulo 1: Sistemas de Numeração

Leia mais

Aula 8 Circuitos Integrados

Aula 8 Circuitos Integrados INTRODUÇÃO À ENGENHRI DE COMPUTÇÃO PONTIFÍCI UNIVERSIDDE CTÓLIC DO RIO GRNDE DO SUL FCULDDE DE ENGENHRI ula Circuitos Integrados Introdução Portas Lógicas em Circuitos Integrados Implementação de Funções

Leia mais

Figura 1 - Diagrama de um sistema de controle de temperatura que requer conversão analógico-digital para permitir o uso de técnicas de processamento

Figura 1 - Diagrama de um sistema de controle de temperatura que requer conversão analógico-digital para permitir o uso de técnicas de processamento 1 2 3 Figura 1 - Diagrama de um sistema de controle de temperatura que requer conversão analógico-digital para permitir o uso de técnicas de processamento digital - (Sistemas Digitais: Princípios e Aplicações

Leia mais

Conceitos básicos do

Conceitos básicos do Conceitos básicos Conceitos básicos do Este artigo descreve os conceitos de memória eletrônica. Apresentar os conceitos básicos dos flip-flops tipo RS, JK, D e T, D Apresentar o conceito da análise de

Leia mais

Memórias. O que são Memórias de Semicondutores? São componentes capazes de armazenar informações Binárias (0s e 1s)

Memórias. O que são Memórias de Semicondutores? São componentes capazes de armazenar informações Binárias (0s e 1s) Memórias O que são Memórias de Semicondutores? São componentes capazes de armazenar informações Binárias (0s e 1s) Essas informações são guardadas eletricamente em células individuais. Chamamos cada elemento

Leia mais

Portas lógicas e circuitos digitais. Sistemas da Computação Prof. Rossano Pablo Pinto, Msc. rossano at gmail com 2 semestre 2007

Portas lógicas e circuitos digitais. Sistemas da Computação Prof. Rossano Pablo Pinto, Msc. rossano at gmail com 2 semestre 2007 Portas lógicas e circuitos digitais Sistemas da Computação Prof. Rossano Pablo Pinto, Msc. rossano at gmail com 2 semestre 2007 Tópicos Portas Circuito somador Circuito subtrator flip-flops (registradores)

Leia mais

Pontifícia Universidade Católica do Rio Grande do Sul Faculdade de Engenharia Disciplina de Lógica Computacional Aplicada. Prof. Dr.

Pontifícia Universidade Católica do Rio Grande do Sul Faculdade de Engenharia Disciplina de Lógica Computacional Aplicada. Prof. Dr. Índice 1. SISTEMAS NUMÉRICOS 1.1 Caracterização dos Sistemas Numéricos 1.2 Sistemas Numéricos em uma Base B Qualquer 1.2.1 Sistema de Numeração Decimal 1.2.2. Sistema de Numeração Binário 1.2.3 Sistema

Leia mais

Disciplina: Introdução à Informática Profª Érica Barcelos

Disciplina: Introdução à Informática Profª Érica Barcelos Disciplina: Introdução à Informática Profª Érica Barcelos CAPÍTULO 4 1. ARQUITETURA DO COMPUTADOR- HARDWARE Todos os componentes físicos constituídos de circuitos eletrônicos interligados são chamados

Leia mais

Memórias Prof. Galvez Gonçalves

Memórias Prof. Galvez Gonçalves Arquitetura e Organização de Computadores 1 s Prof. Galvez Gonçalves Objetivo: Compreender os tipos de memória e como elas são acionadas nos sistemas computacionais modernos. INTRODUÇÃO Nas aulas anteriores

Leia mais

3 Sistemas de Numeração:

3 Sistemas de Numeração: 3 Sistemas de Numeração: Os computadores eletrônicos têm como base para seu funcionamento a utilização de eletricidade. Diferente de outras máquinas que a presença ou ausência de eletricidade apenas significam

Leia mais

Curso: Técnico de Informática Disciplina: Redes de Computadores. 1- Apresentação Binária

Curso: Técnico de Informática Disciplina: Redes de Computadores. 1- Apresentação Binária 1- Apresentação Binária Os computadores funcionam e armazenam dados mediante a utilização de chaves eletrônicas que são LIGADAS ou DESLIGADAS. Os computadores só entendem e utilizam dados existentes neste

Leia mais

ANO LETIVO 2015 / 2016

ANO LETIVO 2015 / 2016 1 30 40 21-9-2015 03-11-2015 Sistemas de Numeração Conhecer a estrutura de um Sistema de Numeração e os principais Sistemas de Numeração Efetuar a conversão de números entre os vários sistemas de numeração.

Leia mais

Projeto de Máquinas de Estado

Projeto de Máquinas de Estado Projeto de Máquinas de Estado Organizado por Rodrigo Hausen. Original de Thomas L. Floyd. Versão 0: 15 de março de 2013 http://compscinet.org/circuitos Resumo Grande parte deste texto, exemplos e estrutura

Leia mais

UNIVERSIDADE CATÓLICA DE PETRÓPOLIS CENTRO DE ENGENHARIA E COMPUTAÇÃO

UNIVERSIDADE CATÓLICA DE PETRÓPOLIS CENTRO DE ENGENHARIA E COMPUTAÇÃO UNIVERSIDADE CATÓLICA DE PETRÓPOLIS CENTRO DE ENGENHARIA E COMPUTAÇÃO Amanda 5ª Atividade: Codificador e codificação de linha e seu uso em transmissão digital Petrópolis, RJ 2012 Codificador: Um codoficador

Leia mais

Sistemas de Numeração

Sistemas de Numeração Sistemas de Numeração Um numeral é um símbolo ou grupo de símbolos que representa um número em um determinado instante da evolução do homem. Tem-se que, numa determinada escrita ou época, os numerais diferenciaram-se

Leia mais

MEMÓRIAS. Sistemas Digitais II Prof. Marcelo Wendling Set/10

MEMÓRIAS. Sistemas Digitais II Prof. Marcelo Wendling Set/10 MEMÓRIAS Sistemas Digitais II Prof. Marcelo Wendling Set/10 1 Definição São blocos que armazenam informações codificadas digitalmente números, letras, caracteres quaisquer, comandos de operações, endereços

Leia mais

Aula 09. Memórias e Circuitos Digitais Seqüenciais

Aula 09. Memórias e Circuitos Digitais Seqüenciais Aula 09 Memórias e Circuitos Digitais Seqüenciais Introdução Os circuitos lógicos estudados até aqui são chamados de combinacionais (ou combinatórios). São assim chamados porque a sua saída depende apenas

Leia mais

1. Sistemas de numeração

1. Sistemas de numeração 1. Sistemas de numeração Quando mencionamos sistemas de numeração estamos nos referindo à utilização de um sistema para representar uma numeração, ou seja, uma quantidade. Sistematizar algo seria organizar,

Leia mais

Flip-Flops (Aplicações) Prof. Rômulo Calado Pantaleão Camara

Flip-Flops (Aplicações) Prof. Rômulo Calado Pantaleão Camara Flip-Flops (Aplicações) Prof. Rômulo Calado Pantaleão Camara Carga Horária: 2h/60h Pulsos Digitais Pulso positivo: executa sua função quando está em nível alto Pulso negativo: executa sua função quando

Leia mais

ARQUITETURA DE COMPUTADORES - 1866

ARQUITETURA DE COMPUTADORES - 1866 7 Unidade Central de Processamento (UCP): O processador é o componente vital do sistema de computação, responsável pela realização das operações de processamento e de controle, durante a execução de um

Leia mais

Funções Lógicas e Portas Lógicas

Funções Lógicas e Portas Lógicas Funções Lógicas e Portas Lógicas Nesta apresentação será fornecida uma introdução ao sistema matemático de análise de circuitos lógicos, conhecido como Álgebra de oole Serão vistos os blocos básicos e

Leia mais

Circuitos Digitais. Conteúdo. Sistema de Numeração e Códigos :: Conversões de Binário para Decimal SISTEMA DE NUMERAÇÃO E CÓDIGOS

Circuitos Digitais. Conteúdo. Sistema de Numeração e Códigos :: Conversões de Binário para Decimal SISTEMA DE NUMERAÇÃO E CÓDIGOS Ciência da Computação Sistemas de Numeração e Conversões Prof. Sergio Ribeiro Material adaptado das aulas do Prof. José Maria da UFPI Conteúdo Conversões de binário para decimal. Conversões de decimal

Leia mais

Sistemas de Numeração. Professor: Rogério R. de Vargas INFORMÁTICA 2014/2

Sistemas de Numeração. Professor: Rogério R. de Vargas INFORMÁTICA 2014/2 INFORMÁTICA Sistemas de Numeração Professor: Rogério R. de Vargas 2014/2 Sistemas de Numeração São sistemas de notação usados para representar quantidades abstratas denominadas números. Um sistema numérico

Leia mais

3. Arquitetura Básica do Computador

3. Arquitetura Básica do Computador 3. Arquitetura Básica do Computador 3.1. Modelo de Von Neumann Dar-me-eis um grão de trigo pela primeira casa do tabuleiro; dois pela segunda, quatro pela terceira, oito pela quarta, e assim dobrando sucessivamente,

Leia mais

A lógica de programação ajuda a facilitar o desenvolvimento dos futuros programas que você desenvolverá.

A lógica de programação ajuda a facilitar o desenvolvimento dos futuros programas que você desenvolverá. INTRODUÇÃO A lógica de programação é extremamente necessária para as pessoas que queiram trabalhar na área de programação, seja em qualquer linguagem de programação, como por exemplo: Pascal, Visual Basic,

Leia mais

No microfone, sua saída pode assumir qualquer valor dentro de uma faixa de 0 à 10mV. 1 - Sistemas de numeração

No microfone, sua saída pode assumir qualquer valor dentro de uma faixa de 0 à 10mV. 1 - Sistemas de numeração 1 - Sistemas de numeração Lidamos constantemente com quantidades. Quantidades são medidas monitoradas, gravadas, manipuladas aritmeticamente e observadas. Quando lidamos com quantidades, é de suma importância

Leia mais

Arquitetura de Rede de Computadores

Arquitetura de Rede de Computadores TCP/IP Roteamento Arquitetura de Rede de Prof. Pedro Neto Aracaju Sergipe - 2011 Ementa da Disciplina 4. Roteamento i. Máscara de Rede ii. Sub-Redes iii. Números Binários e Máscara de Sub-Rede iv. O Roteador

Leia mais

Sistemas Numéricos e a Representação Interna dos Dados no Computador

Sistemas Numéricos e a Representação Interna dos Dados no Computador Capítulo 2 Sistemas Numéricos e a Representação Interna dos Dados no Computador 2.0 Índice 2.0 Índice... 1 2.1 Sistemas Numéricos... 2 2.1.1 Sistema Binário... 2 2.1.2 Sistema Octal... 3 2.1.3 Sistema

Leia mais

INSTITUTO DE EDUCAÇÃO, CIÊNCIA E TECNOLOGIA DE SERGIPE COORDENADORIA DE ELETRÔNICA CONTADORES

INSTITUTO DE EDUCAÇÃO, CIÊNCIA E TECNOLOGIA DE SERGIPE COORDENADORIA DE ELETRÔNICA CONTADORES INSTITUTO DE EDUCAÇÃO, CIÊNCIA E TECNOLOGIA DE SERGIPE COORDENADORIA DE ELETRÔNICA CONTADORES Relatório técnico apresentado como requisito parcial para obtenção de aprovação na disciplina de Sistemas Digitais.

Leia mais

MÓDULO N.º 1 SISTEMAS DE NUMERAÇÃO HORAS / TEMPOS CONTEÚDOS OBJETIVOS AVALIAÇÃO

MÓDULO N.º 1 SISTEMAS DE NUMERAÇÃO HORAS / TEMPOS CONTEÚDOS OBJETIVOS AVALIAÇÃO ESCOLA SECUNDÁRIA JOÃO GONÇALVES ZARCO-402011 CURSO PROFISSIONAL TÉCNICO DE GESTÃO DE EQUIPAMENTOS INFORMÁTICOS SISTEMAS DIGITAIS E ARQUITETURA DE COMPUTADORES 10º 12 PLANIFICAÇÃO MODULAR MÓDULO N.º 1

Leia mais

CAPÍTULO 1 REVISÃO DE LÓGICA COMBINACIONAL

CAPÍTULO 1 REVISÃO DE LÓGICA COMBINACIONAL 1 CAPÍTULO 1 REVISÃO DE LÓGICA COMBINACIONAL Sumário 1.1. Sistemas de Numeração... 3 1.1.1. Conversão Decimal Binária... 3 1.1.2. Conversão Binária Decimal... 3 1.1.3. Conversão Binária Hexadecimal...

Leia mais

ULA Sinais de Controle enviados pela UC

ULA Sinais de Controle enviados pela UC Solução - Exercícios Processadores 1- Qual as funções da Unidade Aritmética e Lógica (ULA)? A ULA é o dispositivo da CPU que executa operações tais como: Adição Subtração Multiplicação Divisão Incremento

Leia mais

Sistemas de Numerações.

Sistemas de Numerações. Matemática Profº: Carlos Roberto da Silva; Lourival Pereira Martins. Sistema de numeração: Binário, Octal, Decimal, Hexadecimal; Sistema de numeração: Conversões; Sistemas de Numerações. Nosso sistema

Leia mais

Trabalho compilado da Internet Prof. Claudio Passos. Sistemas Numéricos

Trabalho compilado da Internet Prof. Claudio Passos. Sistemas Numéricos Trabalho compilado da Internet Prof. Claudio Passos Sistemas Numéricos A Informação e sua Representação O computador, sendo um equipamento eletrônico, armazena e movimenta as informações internamente sob

Leia mais

Tutorial de Eletrônica Aplicações com 555 v2010.05

Tutorial de Eletrônica Aplicações com 555 v2010.05 Tutorial de Eletrônica Aplicações com 555 v2010.05 Linha de Equipamentos MEC Desenvolvidos por: Maxwell Bohr Instrumentação Eletrônica Ltda. Rua Porto Alegre, 212 Londrina PR Brasil http://www.maxwellbohr.com.br

Leia mais

UNIVERSIDADE FEDERAL DO RIO GRANDE DO SUL INSTITUTO DE INFORMÁTICA INF01118 - Técnicas Digitais para Computação

UNIVERSIDADE FEDERAL DO RIO GRANDE DO SUL INSTITUTO DE INFORMÁTICA INF01118 - Técnicas Digitais para Computação UNIVERSIDADE FEDERAL DO RIO GRANDE DO SUL INSTITUTO DE INFORMÁTICA INF01118 - Técnicas Digitais para Computação Prof. Fernanda Gusmão de Lima Kastensmidt (turmas DEF) Exercícios Área 2 Lembre-se que o

Leia mais

for Information Interchange.

for Information Interchange. 6 Memória: 6.1 Representação de Memória: Toda a informação com a qual um sistema computacional trabalha está, em algum nível, armazenada em um sistema de memória, guardando os dados em caráter temporário

Leia mais

Programação Básica em STEP 7 Operações Binárias. SITRAIN Training for Automation and Drives. Página 6-1

Programação Básica em STEP 7 Operações Binárias. SITRAIN Training for Automation and Drives. Página 6-1 Conteúdo Página Operações Lógicas Binárias: AND, OR...2 Operações Lógicas Binárias: OR Exclusivo (XOR)...3 Contatos Normalmente Abertos e Normalmente Fechados. Sensores e Símbolos... 4 Exercício...5 Resultado

Leia mais

SISTEMAS DIGITAIS Prof. Ricardo Rodrigues Barcelar http://www.ricardobarcelar.com

SISTEMAS DIGITAIS Prof. Ricardo Rodrigues Barcelar http://www.ricardobarcelar.com - Aula 1 - SISTEMA DE NUMERAÇÃO BINÁRIA E DECIMAL Todos os computadores são formados por circuitos digitais, onde as informações e os dados são codificados com dois níveis de tensão, pelo que o seu sistema

Leia mais

Capacidade = 512 x 300 x 20000 x 2 x 5 = 30.720.000.000 30,72 GB

Capacidade = 512 x 300 x 20000 x 2 x 5 = 30.720.000.000 30,72 GB Calculando a capacidade de disco: Capacidade = (# bytes/setor) x (méd. # setores/trilha) x (# trilhas/superfície) x (# superfícies/prato) x (# pratos/disco) Exemplo 01: 512 bytes/setor 300 setores/trilha

Leia mais

Programa da disciplina, i metodologia de ensino, avaliações e bibliografia básica. Objetivos da Disciplina

Programa da disciplina, i metodologia de ensino, avaliações e bibliografia básica. Objetivos da Disciplina Circuitos Digitais Cap. 1 Prof. José Maria P. de Menezes Jr. Circuitos Digitais Tópicos Digitais I- Engenharia Elétrica -UFPI Programa da disciplina, i metodologia de ensino, avaliações e bibliografia

Leia mais

Eletrônica Digital para Instrumentação. Herman Lima Jr. hlima@cbpf.br

Eletrônica Digital para Instrumentação. Herman Lima Jr. hlima@cbpf.br G03 Eletrônica Digital para Instrumentação Prof: hlima@cbpf.br Centro Brasileiro de Pesquisas Físicas Ministério da Ciência, Tecnologia e Inovação (MCTI) Organização do curso Introdução à eletrônica digital

Leia mais

Aula 3 - Sistemas de Numeração

Aula 3 - Sistemas de Numeração UEM Universidade Estadual de Maringá DIN - Departamento de Informática Disciplina: Fundamentos da Computação Profª Thelma Elita Colanzi Lopes thelma@din.uem.br Aula 3 - Sistemas de Numeração O ser humano,

Leia mais

CAPÍTULO 5 CONTADORES NA FORMA DE CIRCUITO INTEGRADO

CAPÍTULO 5 CONTADORES NA FORMA DE CIRCUITO INTEGRADO 1 CAPÍTULO 5 CONTADORES NA FORMA DE CIRCUITO INTEGRADO INTRODUÇÃO Devido a necessidade geral de contadores, já existem muitos contadores de forma de CI's. Na série TTL 74 os mais simples são o 74LS90,

Leia mais

Tais operações podem utilizar um (operações unárias) ou dois (operações binárias) valores.

Tais operações podem utilizar um (operações unárias) ou dois (operações binárias) valores. Tais operações podem utilizar um (operações unárias) ou dois (operações binárias) valores. 7.3.1.2 Registradores: São pequenas unidades de memória, implementadas na CPU, com as seguintes características:

Leia mais

1. NÍVEL CONVENCIONAL DE MÁQUINA

1. NÍVEL CONVENCIONAL DE MÁQUINA 1. NÍVEL CONVENCIONAL DE MÁQUINA Relembrando a nossa matéria de Arquitetura de Computadores, a arquitetura de Computadores se divide em vários níveis como já estudamos anteriormente. Ou seja: o Nível 0

Leia mais

R S Q 0 0 1 0 1 0 1 0 0 1 1 0 Tabela 17 - Tabela verdade NOR

R S Q 0 0 1 0 1 0 1 0 0 1 1 0 Tabela 17 - Tabela verdade NOR 19 Aula 4 Flip-Flop Flip-flops são circuitos que possuem a característica de manter os bits de saída independente de energia, podem ser considerados os princípios das memórias. Um dos circuitos sequenciais

Leia mais

DECODIFICADOR DE DISPLAY DE 7 SEGMENTOS COM LATCH

DECODIFICADOR DE DISPLAY DE 7 SEGMENTOS COM LATCH UNIVERSIDADE FEDERAL DO PARANÁ DEPARTAMENTO DE ENGENHARIA ELÉTRICA DECODIFICADOR DE DISPLAY DE 7 SEGMENTOS COM LATCH Projeto para a matéria TE130 Projeto de Circuitos Integrados Digitais, ministrada pelo

Leia mais

ARQUITETURA DE COMPUTADORES - CONCEITUAL

ARQUITETURA DE COMPUTADORES - CONCEITUAL Aula 01 04/08/2008 Universidade do Contestado UnC Sistemas de Informação Arquitetura de Computadores 2ª Fase Prof. Carlos Guerber ARQUITETURA DE COMPUTADORES - CONCEITUAL O QUE É O COMPUTADOR? Um computador

Leia mais

AS LEIS DE NEWTON PROFESSOR ANDERSON VIEIRA

AS LEIS DE NEWTON PROFESSOR ANDERSON VIEIRA CAPÍTULO 1 AS LEIS DE NEWTON PROFESSOR ANDERSON VIEIRA Talvez o conceito físico mais intuitivo que carregamos conosco, seja a noção do que é uma força. Muito embora, formalmente, seja algo bastante complicado

Leia mais

Circuitos Digitais. Engenharia de Automação e Controle Engenharia Elétrica. São Paulo 2014. Prof. José dos Santos Garcia Neto

Circuitos Digitais. Engenharia de Automação e Controle Engenharia Elétrica. São Paulo 2014. Prof. José dos Santos Garcia Neto Engenharia de Automação e Controle Engenharia Elétrica Circuitos Digitais Prof. José dos Santos Garcia Neto São Paulo 2014 Prof. José dos Santos Garcia Neto 1 Introdução Esta apostila tem como objetivo

Leia mais

Circuitos Digitais 144L

Circuitos Digitais 144L Circuitos Digitais Notas de Aula - 02 INSTITUTO: CURSO: DISCIPLINA: Instituto de Ciências Exatas e Tecnologia Ciência da Computação e Sistemas de Informação Circuitos Digitais 144L 1.0 Circuitos Combinacionais.

Leia mais

Controladores Lógicos Programáveis CLP (parte-3)

Controladores Lógicos Programáveis CLP (parte-3) Controladores Lógicos Programáveis CLP (parte-3) Mapeamento de memória Na CPU (Unidade Central de Processamento) de um CLP, todas a informações do processo são armazenadas na memória. Essas informações

Leia mais

Álgebra de Boole e Teorema de De Morgan Prof. Rômulo Calado Pantaleão Camara. Carga Horária: 2h/60h

Álgebra de Boole e Teorema de De Morgan Prof. Rômulo Calado Pantaleão Camara. Carga Horária: 2h/60h Álgebra de Boole e Teorema de De Morgan Prof. Rômulo Calado Pantaleão Camara Carga Horária: 2h/60h Álgebra de Boole A Álgebra de Boole é empregada no projeto de circuitos digitais, para: análise - é um

Leia mais

BARRAMENTO DO SISTEMA

BARRAMENTO DO SISTEMA BARRAMENTO DO SISTEMA Memória Principal Processador Barramento local Memória cachê/ ponte Barramento de sistema SCSI FireWire Dispositivo gráfico Controlador de vídeo Rede Local Barramento de alta velocidade

Leia mais

Arquitetura de Computadores Circuitos Combinacionais, Circuitos Sequênciais e Organização de Memória

Arquitetura de Computadores Circuitos Combinacionais, Circuitos Sequênciais e Organização de Memória Introdução Arquitetura de Computadores Circuitos Combinacionais, Circuitos Sequênciais e O Nível de lógica digital é o nível mais baixo da Arquitetura. Responsável pela interpretação de instruções do nível

Leia mais

O hardware é a parte física do computador, como o processador, memória, placamãe, entre outras. Figura 2.1 Sistema Computacional Hardware

O hardware é a parte física do computador, como o processador, memória, placamãe, entre outras. Figura 2.1 Sistema Computacional Hardware 1 2 Revisão de Hardware 2.1 Hardware O hardware é a parte física do computador, como o processador, memória, placamãe, entre outras. Figura 2.1 Sistema Computacional Hardware 2.1.1 Processador O Processador

Leia mais

GUIA DE LABORATÓRIO DE SISTEMAS DIGITAIS PARA O CURSO DE ENGENHARIA DE CONTROLE E AUTOMAÇÃO

GUIA DE LABORATÓRIO DE SISTEMAS DIGITAIS PARA O CURSO DE ENGENHARIA DE CONTROLE E AUTOMAÇÃO GUIA DE LABORATÓRIO DE SISTEMAS DIGITAIS PARA O CURSO DE ENGENHARIA DE CONTROLE E AUTOMAÇÃO Agosto/2004 V2 INTRODUÇÃO Este guia foi preparado para auxilio às aulas de laboratório para o curso de Engenharia

Leia mais

MINISTÉRIO DA EDUCAÇÃO IFSC - Campus São José. CST em Telecomunicações ELETRÔNICA DIGITAL CONTADORES. Marcos Moecke

MINISTÉRIO DA EDUCAÇÃO IFSC - Campus São José. CST em Telecomunicações ELETRÔNICA DIGITAL CONTADORES. Marcos Moecke MINISTÉRIO DA EDUCAÇÃO IFSC - Campus São José CST em Telecomunicações ELETRÔNICA DIGITAL CONTADORES Marcos Moecke São José - SC, 29 SUMÁRIO 5. CONTADORES... 5. CONTADORES ASSÍNCRONOS 5. C 5.2 C ASSÍNCRONOS......

Leia mais

2. Representação Numérica

2. Representação Numérica 2. Representação Numérica 2.1 Introdução A fim se realizarmos de maneira prática qualquer operação com números, nós precisamos representa-los em uma determinada base numérica. O que isso significa? Vamos

Leia mais

Conversor Analógico /Digital

Conversor Analógico /Digital O que é: Um sistema eletrônico que recebe uma tensão analógica em sua entrada e converte essa tensão para um valor digital em sua saída. Processo de conversão Consiste basicamente em aplicar uma informação

Leia mais

ARQUITETURA DE COMPUTADORES. Sistemas de Numeração. 1 Arquitetura de Computadores

ARQUITETURA DE COMPUTADORES. Sistemas de Numeração. 1 Arquitetura de Computadores ARQUITETURA DE COMPUTADORES Sistemas de Numeração 1 Sistemas de Numeração e Conversão de Base Sistema Decimal É o nosso sistema natural. Dígitos 0,1,2,3,4,5,6,7,8 e 9. Números superiores a 9; convencionamos

Leia mais

Falso: F = Low voltage: L = 0

Falso: F = Low voltage: L = 0 Curso Técnico em Eletrotécnica Disciplina: Automação Predial e Industrial Professor: Ronimack Trajano 1 PORTAS LOGICAS 1.1 INTRODUÇÃO Em 1854, George Boole introduziu o formalismo que até hoje se usa para

Leia mais

Introdução à Organização e Arquitetura de Computadores. Prof. Leonardo Barreto Campos 1

Introdução à Organização e Arquitetura de Computadores. Prof. Leonardo Barreto Campos 1 Introdução à Organização e Arquitetura de Computadores Prof. Leonardo Barreto Campos 1 Sumário Introdução; Evolução dos Computadores; Considerações da Arquitetura de von Neumann; Execução de uma instrução

Leia mais

CAPÍTULO 6 CIRCUITOS SEQUENCIAIS IV: PROJETO DE REDES SEQUENCIAIS

CAPÍTULO 6 CIRCUITOS SEQUENCIAIS IV: PROJETO DE REDES SEQUENCIAIS 92 CAPÍTULO 6 CIRCUITOS SEQUENCIAIS IV: PROJETO DE REDES SEQUENCIAIS Sumário 6.. Introdução... 94 6... Máquina de Estados de Moore... 94 6..2. Máquina de Estados de Mealy... 95 6.2. Projeto de Redes Sequenciais...

Leia mais

SISTEMAS DIGITAIS CIRCUITOS SEQUENCIAIS BÁSICOS

SISTEMAS DIGITAIS CIRCUITOS SEQUENCIAIS BÁSICOS CICUITO EUENCIAI BÁICO CICUITO EUENCIAI BÁICO - 2 UMÁIO: ELEMENTO BÁICO DE MEMÓIA LATCHE LATCH LATCH INCONIZADO LATCH D FLIP-FLOP FLIP-FLOP MATE-LAVE FLIP-FLOP JK FLIP-FLOP EDGE-TIGGEED IMBOLOGIA CAACTEIZAÇÃO

Leia mais

EA773 - Experimento 5

EA773 - Experimento 5 EA773 - Experimento 5 Wu Shin - Ting DCA - FEEC - Unicamp 19 de Novembro de 2009 O projeto consiste em implementar uma calculadora com memória com uso de barramento de 8 bits. Neste documento são discutidos

Leia mais

Arquitetura de Computadores. Tipos de Instruções

Arquitetura de Computadores. Tipos de Instruções Arquitetura de Computadores Tipos de Instruções Tipos de instruções Instruções de movimento de dados Operações diádicas Operações monádicas Instruções de comparação e desvio condicional Instruções de chamada

Leia mais

CAPÍTULO 3 - TIPOS DE DADOS E IDENTIFICADORES

CAPÍTULO 3 - TIPOS DE DADOS E IDENTIFICADORES CAPÍTULO 3 - TIPOS DE DADOS E IDENTIFICADORES 3.1 - IDENTIFICADORES Os objetos que usamos no nosso algoritmo são uma representação simbólica de um valor de dado. Assim, quando executamos a seguinte instrução:

Leia mais

Quadro de consulta (solicitação do mestre)

Quadro de consulta (solicitação do mestre) Introdução ao protocolo MODBUS padrão RTU O Protocolo MODBUS foi criado no final dos anos 70 para comunicação entre controladores da MODICON. Por ser um dos primeiros protocolos com especificação aberta

Leia mais

Apostila para Eletrônica ELETRÔNICA DIGITAL I

Apostila para Eletrônica ELETRÔNICA DIGITAL I Apostila para Eletrônica ELETRÔNICA DIGITAL I Prof. Reinaldo Bolsoni Eletrônica Digital I 1/37 ÍNDICE 1 - SISTEMA NUMÉRICO... 3 1.1 - SISTEMA BINÁRIO...3 Conversão Decimal para Binário...4 Conversão Binário

Leia mais

4.3. Máquina de estados: São utilizados em sistemas de complexos, é de fácil transformação para ladder desde que não haja muitas ramificações.

4.3. Máquina de estados: São utilizados em sistemas de complexos, é de fácil transformação para ladder desde que não haja muitas ramificações. Parte 4 - Técnicas de programação (Lógica simples) INTRODUÇÃO Programar em ladder é muito simples, desde que ele tenha uma estrutura sob a qual o programa deve ser desenvolvido, ou seja, se deve ter um

Leia mais

ACIONAMENTOS ELETRÔNICOS (INVERSOR DE FREQUÊNCIA)

ACIONAMENTOS ELETRÔNICOS (INVERSOR DE FREQUÊNCIA) ACIONAMENTOS ELETRÔNICOS (INVERSOR DE FREQUÊNCIA) 1. Introdução 1.1 Inversor de Frequência A necessidade de aumento de produção e diminuição de custos faz surgir uma grande infinidade de equipamentos desenvolvidos

Leia mais

MINISTÉRIO DA EDUCAÇÃO CEFET/SC - Unidade de São José. Curso Técnico em Telecomunicações CONTADORES. Marcos Moecke

MINISTÉRIO DA EDUCAÇÃO CEFET/SC - Unidade de São José. Curso Técnico em Telecomunicações CONTADORES. Marcos Moecke MINISTÉRIO DA EDUCAÇÃO - Unidade de São José Curso Técnico em Telecomunicações CONTADORES Marcos Moecke São José - SC, 25 SUMÁRIO 5. CONTADORES... 5. CONTADORES ASSÍNCRONOS... CONTADOR ASSÍNCRONO CRESCENTE...

Leia mais

Fabio Bento fbento@ifes.edu.br

Fabio Bento fbento@ifes.edu.br Fabio Bento fbento@ifes.edu.br Eletrônica Digital Sistemas de Numeração e Códigos 1. Conversões de Binário para Decimal 2. Conversões de Decimal para Binário 3. Sistema de Numeração Hexadecimal 4. Código

Leia mais

Em um sistema de numeração de base b qualquer, um número positivo é representado pelo polinômio:

Em um sistema de numeração de base b qualquer, um número positivo é representado pelo polinômio: ELETRÔNICA DIGITAl I 1 SISTEMAS DE NUMERAÇÃO INTRODUÇÃO A base dos sistemas digitais são os circuitos de chaveamento (switching) nos quais o componente principal é o transistor que, sob o ponto de vista

Leia mais

Introdução à Lógica de Programação

Introdução à Lógica de Programação Introdução à Lógica de Programação Sistemas Numéricos As informações inseridas em um computador são traduzidos em dados, ou seja, em sinais que podem ser manipulados pelo computador. O computador trabalha

Leia mais

Capítulo 2. Numéricos e Códigos. 2011 Pearson Prentice Hall. Todos os direitos reservados.

Capítulo 2. Numéricos e Códigos. 2011 Pearson Prentice Hall. Todos os direitos reservados. Capítulo 2 Sistemas Numéricos e Códigos slide 1 Os temas apresentados nesse capítulo são: Conversão entre sistemas numéricos. Decimal, binário, hexadecimal. Contagem hexadecimal. Representação de números

Leia mais

Portas lógicas e Circuitos. Marcos Monteiro, MBA

Portas lógicas e Circuitos. Marcos Monteiro, MBA Portas lógicas e Circuitos Marcos Monteiro, MBA Cultura Inútil Em 1854, o matemático britânico George Boole (1815 1864), através da obra intitulada An Investigation of the Laws of Thought (Uma Investigação

Leia mais

Aula 2 Modelo Simplificado de Computador

Aula 2 Modelo Simplificado de Computador Aula 2 Modelo Simplificado de Computador Um computador pode ser esquematizado de maneira bastante simplificada da seguinte forma: Modelo Simplificado de Computador: Memória Dispositivo de Entrada Processador

Leia mais

Computadores XXI: Busca e execução Final

Computadores XXI: Busca e execução Final Computadores XXI: Busca e execução Final A6 Texto 6 http://www.bpiropo.com.br/fpc20060123.htm Sítio Fórum PCs /Colunas Coluna: B. Piropo Publicada em 23/01/2006 Autor: B.Piropo Na coluna anterior, < http://www.forumpcs.com.br/viewtopic.php?t=146019

Leia mais

O processador é composto por: Unidade de controlo - Interpreta as instruções armazenadas; - Dá comandos a todos os elementos do sistema.

O processador é composto por: Unidade de controlo - Interpreta as instruções armazenadas; - Dá comandos a todos os elementos do sistema. O processador é composto por: Unidade de controlo - Interpreta as instruções armazenadas; - Dá comandos a todos os elementos do sistema. Unidade aritmética e lógica - Executa operações aritméticas (cálculos);

Leia mais

5 Entrada e Saída de Dados:

5 Entrada e Saída de Dados: 5 Entrada e Saída de Dados: 5.1 - Arquitetura de Entrada e Saída: O sistema de entrada e saída de dados é o responsável pela ligação do sistema computacional com o mundo externo. Através de dispositivos

Leia mais

ARQUITETURA DE COMPUTADORES

ARQUITETURA DE COMPUTADORES ARQUITETURA DE COMPUTADORES Aula 02: Conceitos Básicos Conceitos Básicos Conceitos fundamentais: dado, informação e processamento de dados Conceitos de algoritmo, algoritmo ótimo, hardware. Software e

Leia mais

Projeto de Circuitos. Introdução ao Computador 2008/01 Bernardo Gonçalves

Projeto de Circuitos. Introdução ao Computador 2008/01 Bernardo Gonçalves Projeto de Circuitos Lógicos Introdução ao Computador 2008/01 Bernardo Gonçalves Sumário Da Álgebra de Boole ao projeto de circuitos digitais; Portas lógicas; Equivalência de circuitos; Construindo circuitos

Leia mais

Hardware de Computadores

Hardware de Computadores Sistema Binário Hardware de Computadores O sistema binário é um sistema de numeração posicional em que todas as quantidades são representadas, utilizando-se como base as cifras: zero e um (0 e 1). Os computadores

Leia mais

SISTEMAS OPERACIONAIS CAPÍTULO 3 CONCORRÊNCIA

SISTEMAS OPERACIONAIS CAPÍTULO 3 CONCORRÊNCIA SISTEMAS OPERACIONAIS CAPÍTULO 3 CONCORRÊNCIA 1. INTRODUÇÃO O conceito de concorrência é o princípio básico para o projeto e a implementação dos sistemas operacionais multiprogramáveis. O sistemas multiprogramáveis

Leia mais

Introdução. de Eletrônica Digital (Parte II) Universidade Federal de Campina Grande Departamento de Sistemas e Computação. Carga Horária: 60 horas

Introdução. de Eletrônica Digital (Parte II) Universidade Federal de Campina Grande Departamento de Sistemas e Computação. Carga Horária: 60 horas Universidade Federal de Campina Grande Departamento de Sistemas e Computação Introdução à Computação Conceitos Básicos B de Eletrônica Digital (Parte II) Prof. a Joseana Macêdo Fechine Régis de Araújo

Leia mais

Sistema de Numeração e Conversão entre Sistemas. Prof. Rômulo Calado Pantaleão Camara. Carga Horária: 60h

Sistema de Numeração e Conversão entre Sistemas. Prof. Rômulo Calado Pantaleão Camara. Carga Horária: 60h Sistema de Numeração e Conversão entre Sistemas. Prof. Rômulo Calado Pantaleão Camara Carga Horária: 60h Representação da Informação Um dispositivo eletrônico, armazena e movimenta as informações internamente

Leia mais

Símbolos Lógicos com Tabelas-Verdade

Símbolos Lógicos com Tabelas-Verdade Slide 1 Símbolos Lógicos com Tabelas-Verdade PORTAS INVERSOR A NEG OR 6.071 Lógica Digital 1 A lógica digital pode ser descrita em termos de símbolos lógicos padrão e suas tabelas-verdade correspondentes.

Leia mais

Conversão Analógica Digital

Conversão Analógica Digital Slide 1 Conversão Analógica Digital Até agora, discutimos principalmente sinais contínuos (analógicos), mas, atualmente, a maioria dos cálculos e medições é realizada com sistemas digitais. Assim, precisamos

Leia mais

UNIVERSIDADE TECNOLÓGICA FEDERAL DO PARANÁ DEPARTAMENTO ACADÊMICO DE ELETROTÉCNICA ELETRÔNICA DIGITAL - ET75C - Profª Elisabete N Moraes

UNIVERSIDADE TECNOLÓGICA FEDERAL DO PARANÁ DEPARTAMENTO ACADÊMICO DE ELETROTÉCNICA ELETRÔNICA DIGITAL - ET75C - Profª Elisabete N Moraes //24 UNIVERIDADE TECNOLÓGICA FEDERAL DO PARANÁ DEPARTAMENTO ACADÊMICO DE ELETROTÉCNICA ELETRÔNICA DIGITAL - ET75C - Profª Elisabete N Moraes AULA 6 CODIFICADORE E DECODIFICADORE Em 3 de outubro de 24.

Leia mais

Circuitos Digitais. Conteúdo. Introdução. Códigos. Outros Códigos BCD de 4 Bits. Código BCD 8421. Circuitos Combinacionais.

Circuitos Digitais. Conteúdo. Introdução. Códigos. Outros Códigos BCD de 4 Bits. Código BCD 8421. Circuitos Combinacionais. iência da omputação ircuitos ombinacionais Parte II Prof. Sergio Ribeiro onteúdo Introdução ódigos inários ódigo Outros ódigos ódigo Excesso de ódigo Gray ódigos de bits ódigo odificadores e ecodificadores

Leia mais

Circuitos Combinacionais. Sistemas digitais

Circuitos Combinacionais. Sistemas digitais Circuitos Combinacionais Sistemas digitais Agenda } Codificador X Decodificador } Código BCD 8421, código BCH, código 9876543210 } Display de 7 segmentos } Multiplexador X Demultiplexador } Comparadores

Leia mais