Simulação de Memórias Cache com Latências Assimétricas em Arquiteturas Multi-core

Tamanho: px
Começar a partir da página:

Download "Simulação de Memórias Cache com Latências Assimétricas em Arquiteturas Multi-core"

Transcrição

1 Simulação de Memórias Cache com Latências Assimétricas em Arquiteturas Multi-core Julio C. S. Anjos, Felipe L. Severino ULBRA - Universidade Luterana do Brasil - Sistemas de Informação - Guaíba UFRGS - Universidade Federal do Rio Grande do Sul - Instituto de Informática Rio Grande do Sul, RS, Brasil julio.c.s.anjos@gmail.com, flseverino@inf.ufrgs.br Resumo As novas arquiteturas multi-core apresentam grande capacidade para o aumento de desempenho dos atuais e futuros processadores, entretanto, tratando de aplicações tera-scale, os futuros processadores devem ser ainda mais rápidos para fornecer toda a capacidade de processamento requerida por essas aplicações. Nesse sentido, os futuros processadores multi-core deveram adotar novas tecnologias para se obter um elevado alto grau de paralelismo e vazão de dados. As redes de interconexão intra-chip, por exemplo, apresentam diversos aspectos positivos para serem adotadas em futuros processadores many-core. Nesse contexto, as memórias cache são peça chave no desempenhos das futuras arquiteturas. Assim fica clara a importância do ensino das novas tecnologias para os futuros cientistas da computação. Esse trabalho apresenta um estudo de caso, onde foi utilizado um ambiente de simulação completo para fazer aproximações e avaliar os possíveis impactos de diferentes organizações de memória cache nos futuros processadores multi-core. Palavras-chave: Arquitetura de Computadores, Simuladores, Multi-Core, HPC 1 Introdução A demanda por maior capacidade de processamento e as novas tecnologias de integração levaram a criação de arquiteturas com diversos núcleos de processamento em um único chip. Esses processadores que possuem múltiplos núcleos de processamento dentro do mesmo chip são chamados de multi-core ou CMP (chip multiprocessor). Com estas novas arquiteturas, levanta-se a questão da melhor utilização dos demais recursos presentes no processador como, por exemplo, o compartilhamento das memórias cache que pode levar ao uso mais eficiente de recursos e a redução de perdas ocasionadas pela necessidade de tráfego de

2 coerência de dados (Sibai 2008). Porém, por outro lado, esse compartilhamento pode levar à perdas de desempenho devido às contenções no número de portas de acesso a memória e aos conflitos no uso da interconexão (Alves, Freitas e Navaux 2009). Ainda tratando de processadores multi-core, as redes de interconexão intra-chip são apontadas como solução para as interconexões dentro dos processadores (Freitas, Navaux e Santos 2008). Dessa forma, pode-se pensar no uso dessas redes de interconexão para interligar os diversos núcleos de processamento aos bancos de memória cache L2 por exemplo. Um chip constitui-se muitas vezes de um sistema inteiro, abrindo um grande leque para o uso de aplicações que empregam processamento massivamente paralelo e processos firmemente independentes, muitas vezes com requisitos de tempo-real, necessitando de aspectos complexos das estruturas de comunicação, muitos deles endereçados por Network-on-Chip (NoC) (Bjerregaard e Mahadevan 2006). Nesse contexto, e notando que estas tecnologias demandam ainda por muita pesquisa, o ensino dos novos conceitos de multi-core tornam-se muito importantes para os futuros cientistas da computação. Assim, o objetivo desse artigo é demostrar o uso de um simulador completo de sistema, aplicado no ensino de tecnologias emergentes, através de um experimento que visa simular por intermédio do uso de memórias cache com latências assimétricas, o uso de uma NoC interconectando diversos núcleos de processamento à memória cache L2. Para a avaliação do experimento, foi utilizado o benchmark paralelo NAS e comparando o desempenho de memórias cache compartilhadas e privadas, em arquiteturas de 8 e 16 núcleos. As demais seções deste artigo são divididas da seguinte maneira: na seção 2 são apresentados alguns trabalhos correlatos; a seção 3 trás a metodologia utilizada além de detalhes da modelagem dos experimentos; na seção 4 são apresentados os resultados do estudo de caso; na seção 5 são apresentadas as conclusões e trabalhos futuros; os agradecimentos são apresentados na seção 6. 2 Trabalhos Correlatos Diversos estudos vêm sendo feitos por fabricantes (AMD 2009), (Held, Bautista e Koe 2006), (Kumar, Hughes e Nguyen 2007) e pesquisadores (Aboudja e Simonson 2006), (Alves, Freitas e Navaux 2009), (Chaparro et al. 2007),(Karmarkar 1991), (Tao, Kunze e Karl 2008) procurando identificar um modelo que permita o uso de diversos núcleos de processamento com aumento significativo do speedup. A criação de arquiteturas multi-core incrementou significativamente o desempenho dos processadores,

3 porém, os atuais processadores são ineficientes para tratar informações da ordem de Petabytes como em aplicações de simulação refinada de previsão do tempo, simulação de fenômenos extremos (ciclones, furacões, etc.), ou ainda, tratamento de informações oriundas de experimentos físicos como o LHC - Large Hadron Collider. O que motiva o desenvolvimento de novas arquiteturas. Dentro deste contexto, para lidar com o uso de diversos cores em um único chip prevê-se o uso de interconexão. Um exemplo de projeto que busca soluções para a interconexão entre vários cores é o projeto Tera-Scale (Held, Bautista e Koe 2006), (Intel 2009), que projeta a arquitetura de um chip com 80 cores. Sobre o uso de simuladores, como o Simics, diversos trabalhos (Tao, Kunze e Karl 2008),(Magnusson e Werner 1995) fazem o uso deste tipo de ferramenta para efetuar simulações e permitir testar rapidamente novas propostas de arquiteturas ainda inexistentes, de forma eficiente. 3 Metodologia Dentre as metodologias de modelagem possíveis, podemos planejar usar modelagem analítica, simulações ou prototipagem (Jain 1991). A modelagem analítica envolve formulações matemáticas, sendo uma técnica aproximada de difícil adequação a modelos complexos. A prototipagem, por sua vez, é feita em mais baixo nível com alto detalhamento, alto custo e considerando modelos ainda inexistentes de difícil implementação. Por fim, a simulação tem uma complexidade média, sendo possível criar modelos inexistentes para serem testados em ambientes controlados tornando-se, portanto, uma opção interessante e adequada para o desenvolvimento deste trabalho. Assim, nossa proposta é apresentar o uso do simulador Simics, como instrumento pedagógico para o ensino de arquiteturas de computadores. Para alcançar este objetivo, será avaliado um estudo de caso, que será um experimento com uso de memórias caches com tempos de acesso assimétricos e simétricos. Essa modelagem com diferentes tipos de latências tenta abstrair a modelagem de uma interconexão do tipo NoC. Logo, este experimento objetiva mostrar os procedimentos para a simulação de arquiteturas com diferentes latências entre as memórias cache L2 e L3 devido ao posicionamento do núcleo de processamento na rede de interconexão. Apresentando duas configurações base, uma com tempo de acesso simétrico com memórias cache L2 compartilhadas a cada 2 núcleos e outra com tempo de acesso assimétrico com memórias cache L2 privadas para cada núcleo de processamento. Partindo das configurações base, serão avaliados também processadores com 8 e 16 núcleos, simulados como um único chip. Entretanto, uma dos pontos fracos dessa abordagem é que não haverá

4 simulação da estrutura de roteamento, logo, as possíveis contenções desse dispositivo também não serão modeladas. Para a avaliação dos experimentos simulados, a carga de trabalho paralela de aplicações científicas NAS Parallel Benchmark será adotada. 3.1 Simulador As simulações foram feitas com o simulador Simics versão 4.0, desenvolvido pela Virtutech (Virtutech 2009). Este simulador suporta a emulação de diferentes arquiteturas e organizações. Por se tratar de um simulador completo de sistema, as simulações suportam instalação de sistemas operacionais, executando os mesmos binários de um sistema real, tendo a habilidade de simular microprocessadores como PowerPC, x86, ARM e MIPS, além de outros dispositivos digitais como memórias, discos rígidos, dispositivos ethernet entre outros. O simulador utilizado, trabalha com dois modos de execução, o primeiro modo, para a preparação do ambiente simulado é o modo fast, após a modelagem pronta para execuções e medições, foi ativado o modo stall, onde simulam-se todas as transações da hierarquia de memória, fornecendo informações coerentes a respeito da memória cache. 3.2 Modelagem Para a simulação foi utilizado o modelo SunFire Walnut, que representa um servidor Sun Enterprise 6500, com processadores UltraSPARC II. O sistema operacional executado foi o Ubuntu Server (kernel versão 2.6) compilado com suporte a SMP. As configurações de latências para 8 e 16 núcleos de processamento, são apresentadas na Tabela 1, assim como a associatividade, o tamanho das memórias cache e as políticas de atualização de blocos modeladas. Table 1: Configurações de memórias cache.

5 As latências em ciclos utilizadas são baseadas no processador Nehalem da Intel (Intel 2009). Portanto, todas as memórias tem 64 linhas de dados. A política de substituição da cache utiliza LRU (Least Recent Used), a qual elimina da memória cache os blocos menos recentemente usados (Rose e Navaux 2003). Após definir os parâmetros iniciais para os experimentos, quatro organizações diferentes foram propostas. Duas organizações modeladas possuem 8 núcleos de processamento e as outras duas possuem 16 núcleos, sendo que a diferença entre as organizações de mesmo número de núcleos está na organização de memória cache modelada. Assim, foram modelas organizações de memória cache privadas para cada núcleos e organizações com uma memória cache L2 compartilhada a cada dois núcleos de processamento. A primeira organização, ilustrada na Figura 1 apresenta a configuração adotada para 8 núcleos de processamento, cada núcleo tem memórias cache L1 (instruções e dados) e L2 privadas, a latência de todo o sistema de memória cache de cada núcleo é dada pela distância total deste à memória cache L3, somando então as latências das memórias cache aos saltos necessários para o acesso aos dados. Figure 1: Processador com 8 cores e cache L2 privada. As latências da memória cache L3 são decompostas em latência da memória cache L2, latência da memória cache L3 e latência da interconexão utilizada para simular os experimentos com 8 núcleos de processamento. Por exemplo no caso do Core0, a latência total é calculada a partir da soma da latência de memória cache L2 (10 ciclos) e L3 (35 ciclos) mais o total de saltos na interconexão seguindo o modelo da Figura 1. Logo a latência de acesso a memória cache L3 será ( )=49. Já para o Core1, teremos ( =48) e assim sucessivamente. A Figura 2, também com 8 cores apresenta a memória cache L2 compartilhada por 2 núcleos. Para este caso, o cálculo da latência de acesso a memória cache L3 definido a cada 2 cores é igual a 49

6 ciclos. Figure 2: Processador com 8 cores e cache L2 compartilhada. A Figura 3 apresenta a configuração adotada para 16 núcleos de processamento, cada núcleo tem memória cache L1 ( instruções e dados) privada e L2 também privada, a latência de todo o sistema de memória cache, de cada núcleo é dada pela distância deste à memória cache L3. Figure 3: Processador com 16 núcleos de processamento e memórias cache L2 privadas. Neste caso com 16 núcleos de processamento, por exemplo no Core0 a latência de acesso a memória cache L3 é dada pela latência da memória cache L2 (10 ciclos) mais a latência da interconexão, somada com a latência da própria memória cache L3 (35 ciclos), ou seja, ( )=51. Já para o Core1 o valor será ( )=50, no Core2 será ( )=49 e assim por diante.

7 A modelagem da organização com 16 núcleos de processamento, com memórias cache L2 compartilhadas, está ilustrada na Figura 4. Os fatores, apresentados na figura mostram a distância da memória cache L2 à memória cache L3 pela rede de interconexão. Figure 4: Processador com 16 cores e cache L2 compartilhada. O cálculo da latência da memória cache L3 da arquitetura ilustrada pela Figura 4 é feita de maneira similar as anteriores. Considerando-se a memória cache L2 compartilhada, a latência total é dada pela latência proveniente das memórias cache somada à latência introduzida pela rede de interconexão, a qual varia dependendo da distância do núcleos de processamento. Assim no Core0 e Core1, a latência da memória cache L3 ( 35 cilcos) é dada pela latência desta memória, somada a latência da memória cache L2 (10 ciclos), somando ainda a latência da interconexão, ou seja, ( )=49. Para os casos do Core 2 e Core3, a latência da cache L3 será ( )=48 e assim sucessivamente para os demais pares de cores. 3.3 Carga de Trabalho A carga de trabalho utilizada foi o benchmark NAS 3.3, criado pelo NASA Ames Laboratory. Esta carga de trabalho é constituída de oito algoritmos, onde cinco são do tipo kernel (IS, EP, MG, CG, FT) e três são aplicações compactas (LU, SP, BT) (Bailey, Barszcz et al. 1994). Neste experimento são utilizados somente os algoritmos BT (Block Tridiagonal) e CG (Conjugate Gradient), paralelizados com primitivas OpenMP. A quantidade de threads utilizadas nos experimentos foi igual ao número de núcleos de processamento de cada experimento. O algoritmo CG é uma resolução de sistemas lineares através do método de gradiente conjugado

8 (CG), enquanto a aplicação BT é baseada em Computer Fluid Dynamics (CFD) (Dinâmica de Fluídos por Computador) e utiliza o método de multiplicação de sistemas independentes não-diagonais dominantes, resolvendo equações escalares penta-diagonais [Bailey, Barszcz et al. 1994]. Estas aplicações foram escolhidas uma vez que possuem características diferentes do ponto de vista do acesso a dados, uma vez que a aplicação CG apresenta acesso não linear a dados enquanto a aplicação BT possui acesso alinhado aos dados. As simulações BT e CG foram recompiladas para executarem chamadas a uma função magicinstruction que é uma função distribuída com o Simics a qual é reconhecida durante a simulação e assim podemos capturar medições dos dispositivos que interagem na simulação, por intermédio de outros comandos ou scripts escritos em linguagem Python, conforme o exemplo a_callback(user_arg, cpu, arg): file = open ( result_8cor-l2parbt.dat, a+w ) #CPU 0 info file.write ( conf.cpu0.cycles= +str(conf.cpu0.cycles)+ \n ) file.write ( conf.cpu0.steps= +str(conf.cpu0.steps)+ \n ).. Assim, os resultados de cada simulação foram gerados automaticamente em um arquivo para posterior análise dos dados. 4 Resultados Nesta seção, serão apresentados resultados sobre o estudo de caso, com valores e análise das simulações. Para facilitar o entendimento, foram utilizadas representações das arquiteturas com a notação da quantidade de núcleos 8 ou 16, seguido do benchmark executado BT ou CG adicionando a estrutura simulada da organização da memória cache L2 privada Priv ou compartilhada Comp. Na Figura 5, são apresentados o número total de leituras e escritas na memória cache L2 nos benchmarks BT e CG. Neste caso, podemos perceber que o algorítimo BT satura mais a escrita em memória comparada com a aplicação CG. Nesta medição há um desvio padrão igual a σ < 0,01%, qualificando a medida.

9 Figure 5: Leituras e escritas de BT e CG na memória cache L2. A Figura 6 apresenta o gráfico com a taxa de falta de dados durante leituras na memória cache L2 para as diversas organizações avaliadas, nas medições houveram desvio padrão igual a σ < 2,74% para a aplicação BT e um desvio padrão igual a σ < 0,25% para a aplicação CG. Podemos ver que a simulação BT, que gerou maior quantidade de escrita, também gere um número maior de faltas de dados na memória cache L2 e conforme nos mostra Patterson e Hennessy (Henessy e Patterson 2007), aumentar o tamanho da cache, implica em um número menor de erros. Figura 6. Taxa de falta de dados durante a leitura na memória cache L2. Entretanto, uma vez que não se manteve a proporcionalidade do tamanho da memória cache L2 por núcleo, na organização do experimento de memória privada para memória compartilhada (ou seja, 256 KB por núcleo na configuração da memória cache L2 privada contra 128KB por núcleo na memória cache L2 compartilhada, ou seja, redução de 50%), era previsto um número maior de faltas de dados durante leituras na memória cache L2 ocasionados por conflito ou por capacidade. Porém, pelo

10 que se observa nos resultados, esta diferença mostra-se pouco significativa, pois os dados colhidos apresentam mesma ordem de grandeza, com pequenas oscilações. Na arquitetura com 8 núcleos de processamento, com o uso de uma latência assimétrica na memória cache L2 privada, diminuiu-se o efeito de conflitos, resultando em um número menor de faltas de dados durante leituras na memória cache L2, o mesmo acontece com 16 núcleos, porém, nesta organização houve um número maior de núcleos compartilhando a memória cache L2 e também uma assimetria de latências maior, o que minimizou as faltas nas leituras de dados. Já com a aplicação CG, tanto com 8 ou 16 núcleos, ocorreu um comportamento semelhante para as faltas de leitura na memória cache, não apresentando diferenças significativas nos percentuais de faltas de dados de uma arquitetura com memória cache L2 privada para uma arquitetura compartilhada. Na Figura 7 verificamos o comportamento de acertos de escrita e leitura respectivamente, na memória cache L3, com um desvio padrão igual a σ<0,01%. O comportamento obtido se explica, em parte, pelo comportamento das aplicações nos testes e pelo tamanho da memória cache L3. Ressaltando que as leituras e escritas são medidas em milhões de operações. Figure 7: Número de acertos de leitura na memória cache L3. O resultado de 86.42% e 93,47% de acertos de leitura na memória cache L3, no benchmark CG em 16 núcleos, são em decorrência de fatores de conflito, como por exemplo erros por colisões relacionados com a associatividade da memória cache e com a política de atualização write-back adotada neste nível de memória cache, conforme relatam Patterson e Hennessy (Henessy e Patterson 2007) e Jie Tao, et al. (Tao, Kunze e Karl 2008). As medidas para o benchmark BT entre 99,82% e 99,93% tanto para 8 como 16 núcleos indicam um número de acertos de escrita elevado.

11 A Figura 8 mostra um nível de acertos aceitáveis, se compararmos com experimentos equivalentes, os valores de acertos de 97,83% para o pior caso, com memória cache L2 individual, para o comportamento de CG em para 16 cores, onde os dados encontram-se compartilhados na memória cache L3 exclusivamente, com desvio padrão, em todas as medições. 5 Conclusões Figure 8: Número de acertos de escrita na memória cache L3. O uso de instrumentos pedagógicos, como simuladores de arquiteturas, permite ao professor de arquitetura de computadores, não somente relatar e apresentar as diferentes estruturas internas de processadores e demais dispositivos, mas também propiciar ao aluno o entendimento de conceitos, através da vivência em projetos de um sistema completo. Como foi demonstrado no estudo de caso, o uso de latência assimétrica pode ser bastante interessante para se obter tendencias de organizações de memórias cache utilizando redes de interconexão intra-chip. Podemos ver através dos experimentos que as variações na organização tem grande impacto no número de faltas de dados, no caso estudado, o efeito da diminuição de faltas de dados com mudanças nas latências assimétricas foi perceptível. Conforme apresentou o estudo de caso, os mesmos passos de execução mostrados podem ser repetidos em sala de aula, propiciando um auxílio no aprendizado do funcionamento de estruturas com memória cache, conhecimento de mecanismos de interconexões além de diversos outros conceitos dos atuais e futuros processadores multi-core. Como trabalhos futuros, planeja-se aumentar a quantidade de núcleos simulados. Planeja-se

12 também modelar uma NoC com ferramentas mais robustas como o Ruby para comparar o comportamento entre as arquiteturas simuladas. 6 Agradecimentos Este trabalho foi financiado parcialmente com recursos do CNPq. Referências ABOUDJA, H.; SIMONSON, J. Real-time systems performance improvement with multi-level cache memory. CCECE 06. Canadian Conference on Electrical and Computer Engineering, p , Maio ALVES, M. A. Z.; FREITAS, H. C.; NAVAUX, P. O. A. Investigation of shared l2 cache on manycore processors. In: ARCS 09-22th International Conference on Architecture of Computing Systems (S.l.): VDE Verlang, v. 1, p ISBN AMD. Product Brief: Second-Generation AMD Opteron. Janeiro BAILEY, D.; BARSZCZ, E. et al. THE NAS PARALLEL BENCHMARKS. (S.l.), Março Disponìvel em: < BJERREGAARD, T.; MAHADEVAN, S. A survey of research and practices of network-on-chip. ACM Comput. Surv., ACM, New York, NY, USA, v. 38, n. 1, p. 1, ISSN CHAPARRO, P. et al. Understanding the thermal implications of multicore architectures. IEEE TRANSACTIONS ON PARALLEL AND DISTRIBUTED SYSTEMS, v. 18, n. 08, p , Agosto FREITAS, H. C.; NAVAUX, P. O. A.; SANTOS, T. G. S. Noc architecture design for multi-cluster chips. IEEE International Conference on Field Programmable Logic and Applications, p , Setembro HELD, J.; BAUTISTA, J.; KOE, S. Intel corporationfrom a few cores to many: A tera-scale computing research overview. Intel Technology Journal, HENESSY, J. L.; PATTERSON, D. A. Computer Architecture - A quantitative approach. 4. ed. (S.l.): Morgan Kaufmann, ISBN INTEL. Intel 64 and IA-32 Architectures Optimization Reference Manual. Março Disponìvel em: < INTEL. An Introduction to the Intel QuickPath Interconnect. Document number: us. (S.l.),

13 Janeiro JAIN, R. The Art of Computer Systems Performance Analysis: Techniques for Experimental Design, Measurement, Simulation, and Modeling. Wiley- interscience. (S.l.): Wiley- Interscience, ISBN KARMARKAR, N. A new parallel architecture for sparse matrix computation based on finite projective geometries. In: Supercomputing 91: Proceedings of the 1991 ACM/IEEE conference on Supercomputing. New York, NY, USA: ACM, p ISBN KUMAR, S.; HUGHES, C. J.; NGUYEN, A. Architectural support for fine-grained parallelism on multi-core architectures. Intel Technology Journal, v. 11, n. 3, p , Agosto ISSN X. MAGNUSSON, P.; WERNER, B. Efficient memory simulation in simics. In: In Proceedings of the 28th Annual Simulation Symposium. (S.l.: s.n.), p ROSE, C. A. F. D.; NAVAUX, P. O. A. Arquiteturas Paralelas. 1. ed. (S.l.): Sagra Luzzatto, (Livros Didáticos 15, v. 1). ISBN SIBAI, F. N. On the performance benefits of sharing and privatizing second and third-level cache memories in homogeneous multi-core architectures. Microprocess. Microsyst., Elsevier Science Publishers B. V., Amsterdam, The Netherlands, The Netherlands, v. 32, n. 7, p , ISSN TAO, J.; KUNZE, M.; KARL, W. Evaluating the cache architecture of multicore processors. In: PDP 08: Proceedings of the 16th Euromicro Conference on Parallel, Distributed and Network-Based Processing (PDP 2008). Washington, DC, USA: IEEE Computer Society, p ISBN VIRTUTECH. Use of Simics in Academia. Abril Disponìvel em: <

Informática I. Aula 5. http://www.ic.uff.br/~bianca/informatica1/ Aula 5-13/05/2006 1

Informática I. Aula 5. http://www.ic.uff.br/~bianca/informatica1/ Aula 5-13/05/2006 1 Informática I Aula 5 http://www.ic.uff.br/~bianca/informatica1/ Aula 5-13/05/2006 1 Ementa Histórico dos Computadores Noções de Hardware e Software Microprocessadores Sistemas Numéricos e Representação

Leia mais

Arquitetura e Organização de Computadores. Capítulo 0 - Introdução

Arquitetura e Organização de Computadores. Capítulo 0 - Introdução Arquitetura e Organização de Computadores Capítulo 0 - Introdução POR QUE ESTUDAR ARQUITETURA DE COMPUTADORES? 2 https://www.cis.upenn.edu/~milom/cis501-fall12/ Entender para onde os computadores estão

Leia mais

Avaliação de Desempenho em Sistemas de Computação e Comunicação

Avaliação de Desempenho em Sistemas de Computação e Comunicação Avaliação de Desempenho em Sistemas de Computação e Comunicação Universidade Federal do Espírito Santo - UFES Departamento de Informática - DI Laboratório de Pesquisas em Redes Multimidia - LPRM UFES Objetivos

Leia mais

Ao longo do presente capítulo será apresentada uma descrição introdutória da tecnologia FPGA e dos módulos básicos que a constitui.

Ao longo do presente capítulo será apresentada uma descrição introdutória da tecnologia FPGA e dos módulos básicos que a constitui. 3 Tecnologia FPGA Ao longo do presente capítulo será apresentada uma descrição introdutória da tecnologia FPGA e dos módulos básicos que a constitui. 3.1. FPGA: Histórico, linguagens e blocos Muitos dos

Leia mais

GUIA DE FUNCIONAMENTO DA UNIDADE CURRICULAR

GUIA DE FUNCIONAMENTO DA UNIDADE CURRICULAR Curso Engenharia Informática Ano letivo 2012-2013 Unidade Curricular Arquitectura de Computadores ECTS 6 Regime Obrigatório Ano 2º Semestre 2ºsem Horas de trabalho globais Docente (s) Luis Figueiredo Total

Leia mais

Arquitetura e Organização de Computadores. Capítulo 0 - Introdução

Arquitetura e Organização de Computadores. Capítulo 0 - Introdução Arquitetura e Organização de Computadores Capítulo 0 - Introdução POR QUE ESTUDAR ARQUITETURA DE COMPUTADORES? 2 https://www.cis.upenn.edu/~milom/cis501-fall12/ Entender para onde os computadores estão

Leia mais

REFORÇO DE PROGRAMAÇÃO ESTRUTURADA EM LINGUAGEM C PARA GRADUAÇÃO EM ENGENHARIA ELÉTRICA

REFORÇO DE PROGRAMAÇÃO ESTRUTURADA EM LINGUAGEM C PARA GRADUAÇÃO EM ENGENHARIA ELÉTRICA REFORÇO DE PROGRAMAÇÃO ESTRUTURADA EM LINGUAGEM C PARA GRADUAÇÃO EM ENGENHARIA ELÉTRICA Andréa Willa Rodrigues Villarim (Voluntário) Marcelo Pereira Rufino (Bolsista) Larissa Aguiar (Bolsista) Nady Rocha

Leia mais

CISC RISC Introdução A CISC (em inglês: Complex Instruction Set Computing, Computador com um Conjunto Complexo de Instruções), usada em processadores Intel e AMD; suporta mais instruções no entanto, com

Leia mais

Sistema de Computação

Sistema de Computação Sistema de Computação Máquinas multinível Nível 0 verdadeiro hardware da máquina, executando os programas em linguagem de máquina de nível 1 (portas lógicas); Nível 1 Composto por registrados e pela ALU

Leia mais

Capacidade = 512 x 300 x 20000 x 2 x 5 = 30.720.000.000 30,72 GB

Capacidade = 512 x 300 x 20000 x 2 x 5 = 30.720.000.000 30,72 GB Calculando a capacidade de disco: Capacidade = (# bytes/setor) x (méd. # setores/trilha) x (# trilhas/superfície) x (# superfícies/prato) x (# pratos/disco) Exemplo 01: 512 bytes/setor 300 setores/trilha

Leia mais

1. NÍVEL CONVENCIONAL DE MÁQUINA

1. NÍVEL CONVENCIONAL DE MÁQUINA 1. NÍVEL CONVENCIONAL DE MÁQUINA Relembrando a nossa matéria de Arquitetura de Computadores, a arquitetura de Computadores se divide em vários níveis como já estudamos anteriormente. Ou seja: o Nível 0

Leia mais

Comparativo de desempenho do Pervasive PSQL v11

Comparativo de desempenho do Pervasive PSQL v11 Comparativo de desempenho do Pervasive PSQL v11 Um artigo Pervasive PSQL Setembro de 2010 Conteúdo Resumo executivo... 3 O impacto das novas arquiteturas de hardware nos aplicativos... 3 O projeto do Pervasive

Leia mais

Organização de Computadores

Organização de Computadores Organização de Computadores Marcelo Lobosco DCC/UFJF Avaliando e Compreendendo o Desempenho Aula 09 Agenda Avaliando e Compreendendo o Desempenho Introdução Definindo Desempenho Medindo o Desempenho Desempenho

Leia mais

Uso do Netkit no Ensino de Roteamento Estático

Uso do Netkit no Ensino de Roteamento Estático Uso do Netkit no Ensino de Roteamento Estático Nyl Marcos Soares Barbosa, Moisés Lima dos Anjos, Madianita Bogo Curso de Sistemas de Informação Centro universitário Luterano de Palmas (CEULP/ULBRA) Teotônio

Leia mais

5. EXPERIÊNCIAS E ANÁLISE DOS RESULTADOS. 5.1 - Os Programas de Avaliação

5. EXPERIÊNCIAS E ANÁLISE DOS RESULTADOS. 5.1 - Os Programas de Avaliação 36 5. EXPERIÊNCIAS E ANÁLISE DOS RESULTADOS 5.1 - Os Programas de Avaliação Programas de avaliação convencionais foram utilizados para análise de diversas configurações da arquitetura. Estes programas

Leia mais

COMPILADORES E INTERPRETADORES

COMPILADORES E INTERPRETADORES Aula 16 Arquitetura de Computadores 12/11/2007 Universidade do Contestado UnC/Mafra Curso Sistemas de Informação Prof. Carlos Guerber COMPILADORES E INTERPRETADORES Um compilador transforma o código fonte

Leia mais

Prof. Marcelo de Sá Barbosa SISTEMAS DISTRIBUIDOS

Prof. Marcelo de Sá Barbosa SISTEMAS DISTRIBUIDOS Prof. Marcelo de Sá Barbosa SISTEMAS DISTRIBUIDOS 1. Introdução a supercomputação 2. Visão geral de Mainframe 3. Cluster de computadores 4. Cluster Beowulf considerações de projeto 5. Cluster x Grid 6.

Leia mais

Imagem retirada de documentações de treinamentos oficiais INTEL

Imagem retirada de documentações de treinamentos oficiais INTEL O que é Hyper-Threading (HT)? Hyper-Threading (HT) é uma tecnologia existe nos processadores que visa explorar com mais eficiência o uso da CPU, o grande foco desta tecnologia é evitar ociosidade de processamento

Leia mais

Ferramentas para Simulação e Avaliação de Processadores. de Alto Desempenho

Ferramentas para Simulação e Avaliação de Processadores. de Alto Desempenho Ferramentas para Simulação e Avaliação de Processadores de Alto Desempenho 5 de agosto de 2010 Resumo 1 Apresentação e Justificativa A tarefa de avaliar e comparar experimentalmente projetos em torno de

Leia mais

Sistemas Operativos. Threads. 3º ano - ESI e IGE (2011/2012) Engenheiro Anilton Silva Fernandes (afernandes@unipiaget.cv)

Sistemas Operativos. Threads. 3º ano - ESI e IGE (2011/2012) Engenheiro Anilton Silva Fernandes (afernandes@unipiaget.cv) Sistemas Operativos Threads 3º ano - ESI e IGE (2011/2012) Engenheiro Anilton Silva Fernandes (afernandes@unipiaget.cv) Dos Processos para os Threads O conceito de thread foi introduzido na tentativa de

Leia mais

Simulação Transiente

Simulação Transiente Tópicos Avançados em Avaliação de Desempenho de Sistemas Professores: Paulo Maciel Ricardo Massa Alunos: Jackson Nunes Marco Eugênio Araújo Dezembro de 2014 1 Sumário O que é Simulação? Áreas de Aplicação

Leia mais

1.1. Organização de um Sistema Computacional

1.1. Organização de um Sistema Computacional 1. INTRODUÇÃO 1.1. Organização de um Sistema Computacional Desde a antiguidade, o homem vem desenvolvendo dispositivos elétricoeletrônicos (hardware) que funciona com base em instruções e que são capazes

Leia mais

Memória Cache. Prof. Leonardo Barreto Campos 1

Memória Cache. Prof. Leonardo Barreto Campos 1 Memória Cache Prof. Leonardo Barreto Campos 1 Sumário Introdução; Projeto de Memórias Cache; Tamanho; Função de Mapeamento; Política de Escrita; Tamanho da Linha; Número de Memórias Cache; Bibliografia.

Leia mais

Arquitetura de Rede de Computadores

Arquitetura de Rede de Computadores TCP/IP Roteamento Arquitetura de Rede de Prof. Pedro Neto Aracaju Sergipe - 2011 Ementa da Disciplina 4. Roteamento i. Máscara de Rede ii. Sub-Redes iii. Números Binários e Máscara de Sub-Rede iv. O Roteador

Leia mais

Faculdades Santa Cruz - Inove. Plano de Aula Base: Livro - Distributed Systems Professor: Jean Louis de Oliveira.

Faculdades Santa Cruz - Inove. Plano de Aula Base: Livro - Distributed Systems Professor: Jean Louis de Oliveira. Período letivo: 4 Semestre. Quinzena: 5ª. Faculdades Santa Cruz - Inove Plano de Aula Base: Livro - Distributed Systems Professor: Jean Louis de Oliveira. Unidade Curricular Sistemas Distribuídos Processos

Leia mais

Sistema Operacional Correção - Exercício de Revisão

Sistema Operacional Correção - Exercício de Revisão Prof. Kleber Rovai 1º TSI 22/03/2012 Sistema Operacional Correção - Exercício de Revisão 1. Como seria utilizar um computador sem um sistema operacional? Quais são suas duas principais funções? Não funcionaria.

Leia mais

Unidade 13: Paralelismo:

Unidade 13: Paralelismo: Arquitetura e Organização de Computadores 1 Unidade 13: Paralelismo: SMP e Processamento Vetorial Prof. Daniel Caetano Objetivo: Apresentar os conceitos fundamentais da arquitetura SMP e alguns detalhes

Leia mais

Quadro de consulta (solicitação do mestre)

Quadro de consulta (solicitação do mestre) Introdução ao protocolo MODBUS padrão RTU O Protocolo MODBUS foi criado no final dos anos 70 para comunicação entre controladores da MODICON. Por ser um dos primeiros protocolos com especificação aberta

Leia mais

Sistemas Distribuídos

Sistemas Distribuídos Sistemas Distribuídos Modelo Cliente-Servidor: Introdução aos tipos de servidores e clientes Prof. MSc. Hugo Souza Iniciando o módulo 03 da primeira unidade, iremos abordar sobre o Modelo Cliente-Servidor

Leia mais

Arquiteturas Multi e Many cores baseadas em redes-em-chip

Arquiteturas Multi e Many cores baseadas em redes-em-chip Arquiteturas Multi e Many cores baseadas em redes-em-chip Laboratório de Arquitetura e Redes de Computadores Departamento de Engenharia de Compuatação e Sistemas Digitais Escola Politécnica Universidade

Leia mais

O hardware é a parte física do computador, como o processador, memória, placamãe, entre outras. Figura 2.1 Sistema Computacional Hardware

O hardware é a parte física do computador, como o processador, memória, placamãe, entre outras. Figura 2.1 Sistema Computacional Hardware 1 2 Revisão de Hardware 2.1 Hardware O hardware é a parte física do computador, como o processador, memória, placamãe, entre outras. Figura 2.1 Sistema Computacional Hardware 2.1.1 Processador O Processador

Leia mais

Curso de Instalação e Gestão de Redes Informáticas

Curso de Instalação e Gestão de Redes Informáticas ESCOLA PROFISSIONAL VASCONCELLOS LEBRE Curso de Instalação e Gestão de Redes Informáticas PROCESSADORES DE 64 BITS X PROCESSADORES DE 32 BITS José Vitor Nogueira Santos FT2-0749 Mealhada, 2009 Introdução

Leia mais

29/3/2011. Primeira unidade de execução (pipe U): unidade de processamento completa, capaz de processar qualquer instrução;

29/3/2011. Primeira unidade de execução (pipe U): unidade de processamento completa, capaz de processar qualquer instrução; Em 1993, foi lançada a primeira versão do processador Pentium, que operava a 60 MHz Além do uso otimizado da memória cache (tecnologia já amadurecida) e da multiplicação do clock, o Pentium passou a utilizar

Leia mais

Prof.: Roberto Franciscatto. Capítulo 1.2 Aspectos Gerais

Prof.: Roberto Franciscatto. Capítulo 1.2 Aspectos Gerais Sistemas Operacionais Prof.: Roberto Franciscatto Capítulo 1.2 Aspectos Gerais Estrutura do Sistema Operacional Principais Funções do Sistema Operacional Tratamento de interrupções e exceções Criação e

Leia mais

TRABALHO COM GRANDES MONTAGENS

TRABALHO COM GRANDES MONTAGENS Texto Técnico 005/2013 TRABALHO COM GRANDES MONTAGENS Parte 05 0 Vamos finalizar o tema Trabalho com Grandes Montagens apresentando os melhores recursos e configurações de hardware para otimizar a abertura

Leia mais

Computadores de Programação (MAB353)

Computadores de Programação (MAB353) Computadores de Programação (MAB353) Aula 19: Visão geral sobre otimização de programas 06 de julho de 2010 1 2 3 Características esperadas dos programas O primeiro objetivo ao escrever programas de computador

Leia mais

Técnicas de Manutenção de Computadores

Técnicas de Manutenção de Computadores Técnicas de Manutenção de Computadores Professor: Luiz Claudio Ferreira de Souza Processadores É indispensável em qualquer computador, tem a função de gerenciamento, controlando todas as informações de

Leia mais

Orientação a Objetos

Orientação a Objetos 1. Domínio e Aplicação Orientação a Objetos Um domínio é composto pelas entidades, informações e processos relacionados a um determinado contexto. Uma aplicação pode ser desenvolvida para automatizar ou

Leia mais

Aumento da Capacidade de Armazenamento do SPRACE

Aumento da Capacidade de Armazenamento do SPRACE Julho 2010 Aumento da Capacidade de Armazenamento do SPRACE São Paulo Regional Analysis Center Sergio Ferraz Novaes Sumário I. IDENTIFICAÇÃO DA PROPOSTA... 3 II. QUALIFICAÇÃO DO PROBLEMA... 3 III. OBJETIVOS

Leia mais

Metodologias de Desenvolvimento de Sistemas. Analise de Sistemas I UNIPAC Rodrigo Videschi

Metodologias de Desenvolvimento de Sistemas. Analise de Sistemas I UNIPAC Rodrigo Videschi Metodologias de Desenvolvimento de Sistemas Analise de Sistemas I UNIPAC Rodrigo Videschi Histórico Uso de Metodologias Histórico Uso de Metodologias Era da Pré-Metodologia 1960-1970 Era da Metodologia

Leia mais

SISTEMAS OPERACIONAIS

SISTEMAS OPERACIONAIS SISTEMAS OPERACIONAIS Turma de Redes AULA 06 www.eduardosilvestri.com.br silvestri@eduardosilvestri.com.br Estrutura do Sistema Operacional Introdução É bastante complexo a estrutura de um sistema operacional,

Leia mais

Capítulo 3. Avaliação de Desempenho. 3.1 Definição de Desempenho

Capítulo 3. Avaliação de Desempenho. 3.1 Definição de Desempenho 20 Capítulo 3 Avaliação de Desempenho Este capítulo aborda como medir, informar e documentar aspectos relativos ao desempenho de um computador. Além disso, descreve os principais fatores que influenciam

Leia mais

SISTEMAS OPERACIONAIS CAPÍTULO 3 CONCORRÊNCIA

SISTEMAS OPERACIONAIS CAPÍTULO 3 CONCORRÊNCIA SISTEMAS OPERACIONAIS CAPÍTULO 3 CONCORRÊNCIA 1. INTRODUÇÃO O conceito de concorrência é o princípio básico para o projeto e a implementação dos sistemas operacionais multiprogramáveis. O sistemas multiprogramáveis

Leia mais

Arquiteturas RISC. (Reduced Instructions Set Computers)

Arquiteturas RISC. (Reduced Instructions Set Computers) Arquiteturas RISC (Reduced Instructions Set Computers) 1 INOVAÇÕES DESDE O SURGIMENTO DO COMPU- TADOR DE PROGRAMA ARMAZENADO (1950)! O conceito de família: desacoplamento da arquitetura de uma máquina

Leia mais

Prof. Esp. Lucas Cruz

Prof. Esp. Lucas Cruz Prof. Esp. Lucas Cruz O hardware é qualquer tipo de equipamento eletrônico utilizado para processar dados e informações e tem como função principal receber dados de entrada, processar dados de um usuário

Leia mais

ESTUDO COMPARATIVO ENTRE AS PLATAFORMAS ARDUINO E PIC

ESTUDO COMPARATIVO ENTRE AS PLATAFORMAS ARDUINO E PIC ESTUDO COMPARATIVO ENTRE AS PLATAFORMAS ARDUINO E PIC Tiago Menezes Xavier de Souza¹, Igor dos Passos Granado¹, Wyllian Fressatti¹ ¹Universidade Paranaense (UNIPAR) Paranavaí- PR- Brasil tiago_x666@hotmail.com,

Leia mais

O processador é composto por: Unidade de controlo - Interpreta as instruções armazenadas; - Dá comandos a todos os elementos do sistema.

O processador é composto por: Unidade de controlo - Interpreta as instruções armazenadas; - Dá comandos a todos os elementos do sistema. O processador é composto por: Unidade de controlo - Interpreta as instruções armazenadas; - Dá comandos a todos os elementos do sistema. Unidade aritmética e lógica - Executa operações aritméticas (cálculos);

Leia mais

Relatório de Pesquisa

Relatório de Pesquisa Relatório de Pesquisa A Vantagem da Virtualização de Mainframe: Como Economizar Milhões de Dólares Utilizando um IBM System z como um Servidor em Nuvem Linux Sumário Executivo Os executivos de TI (Tecnologia

Leia mais

1 http://www.google.com

1 http://www.google.com 1 Introdução A computação em grade se caracteriza pelo uso de recursos computacionais distribuídos em várias redes. Os diversos nós contribuem com capacidade de processamento, armazenamento de dados ou

Leia mais

4 Avaliação Econômica

4 Avaliação Econômica 4 Avaliação Econômica Este capítulo tem o objetivo de descrever a segunda etapa da metodologia, correspondente a avaliação econômica das entidades de reservas. A avaliação econômica é realizada a partir

Leia mais

Fundamentos de Sistemas Operacionais

Fundamentos de Sistemas Operacionais Fundamentos de Sistemas Operacionais Professor: João Fábio de Oliveira jfabio@amprnet.org.br (41) 9911-3030 Objetivo: Apresentar o que são os Sistemas Operacionais, seu funcionamento, o que eles fazem,

Leia mais

UNIVERSIDADE FEDERAL DE PERNAMBUCO

UNIVERSIDADE FEDERAL DE PERNAMBUCO UNIVERSIDADE FEDERAL DE PERNAMBUCO Mestrado em Ciência da Computação CENTRO DE INFORMÁTICA Análise comparativa entre os diferentes tipos De protocolos para transmissão de dados Grupo: Professora: Disciplina:

Leia mais

Organização de Computadores

Organização de Computadores Organização de Computadores Marcelo Lobosco DCC/UFJF Avaliando e Compreendendo o Desempenho Aula 10 Agenda Análise de Desempenho (cont.) Avaliando o Desempenho Benchmark SPEC Falácias e Armadilhas Desempenho

Leia mais

Sistemas de Informação. Sistemas Operacionais 4º Período

Sistemas de Informação. Sistemas Operacionais 4º Período Sistemas de Informação Sistemas Operacionais 4º Período SISTEMA DE ARQUIVOS SUMÁRIO 7. SISTEMA DE ARQUIVOS: 7.1 Introdução; 7.2 s; 7.3 Diretórios; 7.4 Gerência de Espaço Livre em Disco; 7.5 Gerência de

Leia mais

Desenvolvendo uma Arquitetura de Componentes Orientada a Serviço SCA

Desenvolvendo uma Arquitetura de Componentes Orientada a Serviço SCA Desenvolvendo uma Arquitetura de Componentes Orientada a Serviço SCA RESUMO Ricardo Della Libera Marzochi A introdução ao Service Component Architecture (SCA) diz respeito ao estudo dos principais fundamentos

Leia mais

ESTUDO PRELIMINAR SOBRE A ESCALABILIDADE DE UM ALGORITMO GENÉTICO PARALELIZADO COM OPENMP. Mateus Fontoura Gomes da Rosa Márcia C.

ESTUDO PRELIMINAR SOBRE A ESCALABILIDADE DE UM ALGORITMO GENÉTICO PARALELIZADO COM OPENMP. Mateus Fontoura Gomes da Rosa Márcia C. ESTUDO PRELIMINAR SOBRE A ESCALABILIDADE DE UM ALGORITMO GENÉTICO PARALELIZADO COM OPENMP Mateus Fontoura Gomes da Rosa Márcia C. Cera Roteiro Introdução Problema de Roteamento de Veículos Objetivos da

Leia mais

MEMÓRIA. 0 e 1 únicos elementos do sistema de numeração de base 2

MEMÓRIA. 0 e 1 únicos elementos do sistema de numeração de base 2 MEMÓRIA CONCEITO Bit- 0 1 Essência de um sistema chamado BIESTÁVEL Ex: Lâmpada 0 apagada 1 acesa 0 e 1 únicos elementos do sistema de numeração de base 2 A que se destina a memória: Armazenamento das instruções

Leia mais

DIFERENÇAS ENTRE HUB, SWITCH E ROOTER

DIFERENÇAS ENTRE HUB, SWITCH E ROOTER ESCOLA SECUNDÁRIA DE AROUCA CURSO OPERADOR DE INFORMÁTICA (2) Educação e Formação de Adultos DIFERENÇAS ENTRE HUB, SWITCH E ROOTER 1º PERÍODO Sara Matias ICORLI 2008/2009 Muita gente sabe que hub, switch

Leia mais

Sistemas Operacionais

Sistemas Operacionais Sistemas Operacionais Aula 3 Software Prof.: Edilberto M. Silva http://www.edilms.eti.br SO - Prof. Edilberto Silva Barramento Sistemas Operacionais Interliga os dispositivos de E/S (I/O), memória principal

Leia mais

Multi-processamento. Arquitecturas MIMD de memória partilhada Multi-cores heterogéneos Multi-processadores

Multi-processamento. Arquitecturas MIMD de memória partilhada Multi-cores heterogéneos Multi-processadores Multi-processamento Arquitecturas MIMD de memória partilhada Multi-cores heterogéneos Multi-processadores Arquitecturas MIMD de memória distribuída Massive Parallel Computers Sistemas distribuídos Ainda

Leia mais

Organização e Arquitetura de Computadores I. de Computadores

Organização e Arquitetura de Computadores I. de Computadores Universidade Federal de Campina Grande Unidade Acadêmica de Sistemas e Computação Curso de Bacharelado em Ciência da Computação Organização e Arquitetura de Computadores I Organização Básica B de Computadores

Leia mais

Introdução à Organização e Arquitetura de Computadores. Prof. Leonardo Barreto Campos 1

Introdução à Organização e Arquitetura de Computadores. Prof. Leonardo Barreto Campos 1 Introdução à Organização e Arquitetura de Computadores Prof. Leonardo Barreto Campos 1 Sumário Introdução; Evolução dos Computadores; Considerações da Arquitetura de von Neumann; Execução de uma instrução

Leia mais

Sistemas Operacionais

Sistemas Operacionais Sistemas Operacionais Aula 6 Estrutura de Sistemas Operacionais Prof.: Edilberto M. Silva http://www.edilms.eti.br Baseado no material disponibilizado por: SO - Prof. Edilberto Silva Prof. José Juan Espantoso

Leia mais

EAGLE TECNOLOGIA E DESIGN CRIAÇÃO DE SERVIDOR CLONE APCEF/RS

EAGLE TECNOLOGIA E DESIGN CRIAÇÃO DE SERVIDOR CLONE APCEF/RS EAGLE TECNOLOGIA E DESIGN CRIAÇÃO DE SERVIDOR CLONE APCEF/RS Relatório Nº 03/2013 Porto Alegre, 22 de Agosto de 2013. ANÁLISE DE SOLUÇÕES: # RAID 1: O que é: RAID-1 é o nível de RAID que implementa o espelhamento

Leia mais

Disciplina: Administração de Departamento de TI. Professor: Aldo Rocha. Aula IX - 28/04/2011

Disciplina: Administração de Departamento de TI. Professor: Aldo Rocha. Aula IX - 28/04/2011 Disciplina: Administração de Departamento de TI Professor: Aldo Rocha Aula IX - 28/04/2011 INTRODUÇÃO A ITIL 1.História da ITIL; 2. Composição da ITIL; 3. Gerenciamento de processos; 4.Modelo de referência

Leia mais

Introdução Ciclo de vida tradicional de desenvolvimento Prototipagem Pacotes de software Desenvolvimento de 4ª geração Terceirização

Introdução Ciclo de vida tradicional de desenvolvimento Prototipagem Pacotes de software Desenvolvimento de 4ª geração Terceirização Prof. Ricardo José Pfitscher Material elaborado com base em: José Luiz Mendes Gerson Volney Lagemann Introdução Ciclo de vida tradicional de desenvolvimento Prototipagem Pacotes de software Desenvolvimento

Leia mais

Sistemas Computacionais II Professor Frederico Sauer

Sistemas Computacionais II Professor Frederico Sauer Sistemas Computacionais II Professor Frederico Sauer Livro-texto: Introdução à Organização de Computadores 4ª edição Mário A. Monteiro Livros Técnicos e Científicos Editora. Atenção: Este material não

Leia mais

Governança de TI. ITIL v.2&3. parte 1

Governança de TI. ITIL v.2&3. parte 1 Governança de TI ITIL v.2&3 parte 1 Prof. Luís Fernando Garcia LUIS@GARCIA.PRO.BR ITIL 1 1 ITIL Gerenciamento de Serviços 2 2 Gerenciamento de Serviços Gerenciamento de Serviços 3 3 Gerenciamento de Serviços

Leia mais

Paralelismo. Computadores de alto-desempenho são utilizados em diversas áreas:

Paralelismo. Computadores de alto-desempenho são utilizados em diversas áreas: Computadores de alto-desempenho são utilizados em diversas áreas: - análise estrutural; - previsão de tempo; - exploração de petróleo; - pesquisa em fusão de energia; - diagnóstico médico; - simulações

Leia mais

Cálculo Aproximado do número PI utilizando Programação Paralela

Cálculo Aproximado do número PI utilizando Programação Paralela Universidade de São Paulo Instituto de Ciências Matemáticas e de Computação Cálculo Aproximado do número PI utilizando Programação Paralela Grupo 17 Raphael Ferras Renan Pagaiane Yule Vaz SSC-0143 Programação

Leia mais

ORGANIZAÇÃO CURRICULAR

ORGANIZAÇÃO CURRICULAR ORGANIZAÇÃO CURRICULAR O curso Técnico em Informática, em Nível Médio Subseqüente, será organizado de forma semestral, com aulas presenciais, compostos por disciplinas, com conteúdos estabelecidos, tendo

Leia mais

Arquitetura e Organização de Computadores 2. Apresentação da Disciplina

Arquitetura e Organização de Computadores 2. Apresentação da Disciplina Arquitetura e Organização de Computadores 2 Apresentação da Disciplina 1 Objetivos Gerais da Disciplina Aprofundar o conhecimento sobre o funcionamento interno dos computadores em detalhes Estudar técnicas

Leia mais

Taxa de Gravação da Memória RAM (MegaBytes / segundo) G5 2.7 Ghz (Mac) Linux Kernel 2.6 2799 1575

Taxa de Gravação da Memória RAM (MegaBytes / segundo) G5 2.7 Ghz (Mac) Linux Kernel 2.6 2799 1575 21 4 Análise É necessária uma análise criteriosa, que busque retornar as questões primordiais sobre o que é realmente preciso para a aquisição de uma plataforma de produção gráfica digital profissional.

Leia mais

Contil Informática. Curso Técnico em Informática Processadores Core

Contil Informática. Curso Técnico em Informática Processadores Core Contil Informática Curso Técnico em Informática Processadores Core Quais as diferenças entre os processadores Intel Core i3, i5 e i7? A tecnologia avançada na área de hardware possibilita um avanço desenfreado

Leia mais

Dadas a base e a altura de um triangulo, determinar sua área.

Dadas a base e a altura de um triangulo, determinar sua área. Disciplina Lógica de Programação Visual Ana Rita Dutra dos Santos Especialista em Novas Tecnologias aplicadas a Educação Mestranda em Informática aplicada a Educação ana.santos@qi.edu.br Conceitos Preliminares

Leia mais

Disciplina: Introdução à Informática Profª Érica Barcelos

Disciplina: Introdução à Informática Profª Érica Barcelos Disciplina: Introdução à Informática Profª Érica Barcelos CAPÍTULO 4 1. ARQUITETURA DO COMPUTADOR- HARDWARE Todos os componentes físicos constituídos de circuitos eletrônicos interligados são chamados

Leia mais

ORGANIZAÇÃO DE COMPUTADORES MÓDULO 8

ORGANIZAÇÃO DE COMPUTADORES MÓDULO 8 ORGANIZAÇÃO DE COMPUTADORES MÓDULO 8 Índice 1. A Organização do Computador - Continuação...3 1.1. Processadores - II... 3 1.1.1. Princípios de projeto para computadores modernos... 3 1.1.2. Paralelismo...

Leia mais

Arquitetura e Organização de Computadores

Arquitetura e Organização de Computadores Universidade Federal do Pampa Campus-Bagé Arquitetura e Organização de Computadores Aula 2 Avaliando Desempenho Prof. Julio Saraçol juliosaracol@gmail.com Slide1 AULA 4: AVALIANDO DESEMPENHO 2 Slide2 Método

Leia mais

Arquitetura de Computadores I

Arquitetura de Computadores I Arquitetura de Computadores I Avaliação de desempenho Introdução Edson Moreno edson.moreno@pucrs.br http://www.inf.pucrs.br/~emoreno Adaptado do material de aula de Hennessy e Patterson Desempenho Meça,

Leia mais

Sistemas Operacionais

Sistemas Operacionais Sistemas Operacionais SISTEMAS COM MÚLTIPLOS PROCESSADORES LIVRO TEXTO: CAPÍTULO 13, PÁGINA 243 Prof. Pedro Luís Antonelli Anhanguera Educacional INTRODUÇÃO Arquiteturas que possuem duas ou mais CPUs interligadas

Leia mais

O que veremos nesta aula? Principais Aspectos de Sistemas Operacionais. Visão geral de um sistema computacional

O que veremos nesta aula? Principais Aspectos de Sistemas Operacionais. Visão geral de um sistema computacional O que veremos nesta aula? Principais Aspectos de Sistemas Operacionais Laboratório de Sistemas Operacionais Aula 1 Flávia Maristela (flavia@flaviamaristela.com) Tudo o que já vimos antes... Introdução

Leia mais

Tecnologia da Informação. Prof Odilon Zappe Jr

Tecnologia da Informação. Prof Odilon Zappe Jr Tecnologia da Informação Prof Odilon Zappe Jr Conceitos básicos de informática O que é informática? Informática pode ser considerada como significando informação automática, ou seja, a utilização de métodos

Leia mais

4 Arquitetura básica de um analisador de elementos de redes

4 Arquitetura básica de um analisador de elementos de redes 4 Arquitetura básica de um analisador de elementos de redes Neste capítulo é apresentado o desenvolvimento de um dispositivo analisador de redes e de elementos de redes, utilizando tecnologia FPGA. Conforme

Leia mais

Computador E/S, Memória, Barramento do sistema e CPU Onde a CPU Registradores, ULA, Interconexão interna da CPU e Unidade de controle.

Computador E/S, Memória, Barramento do sistema e CPU Onde a CPU Registradores, ULA, Interconexão interna da CPU e Unidade de controle. Introdução Os principais elementos de um sistema de computação são a unidade central de processamento (central processing unit CPU), a memória principal, o subsistema de E/S (entrada e saída) e os mecanismos

Leia mais

Aula 26: Arquiteturas RISC vs. CISC

Aula 26: Arquiteturas RISC vs. CISC Aula 26: Arquiteturas RISC vs CISC Diego Passos Universidade Federal Fluminense Fundamentos de Arquiteturas de Computadores Diego Passos (UFF) Arquiteturas RISC vs CISC FAC 1 / 33 Revisão Diego Passos

Leia mais

1.2 Tipos de Sistemas Operacionais

1.2 Tipos de Sistemas Operacionais 1.2 Tipos de Operacionais Tipos de Operacionais Monoprogramáveis/ Monotarefa Multiprogramáveis/ Multitarefa Com Múltiplos Processadores 1.2.1 Monoprogramáveis/Monotarefa Os primeiros sistemas operacionais

Leia mais

Paralelização de Simuladores de Hardware Descritos em SystemC

Paralelização de Simuladores de Hardware Descritos em SystemC Paralelização de Simuladores de Hardware Descritos em SystemC 18 de maio de 2011 Roteiro Motivação Introdução à SLDL SystemC O Escalonador SystemC Simulação Paralela baseada em Eventos Discretos Suporte

Leia mais

ADDRESS RESOLUTION PROTOCOL. Thiago de Almeida Correia

ADDRESS RESOLUTION PROTOCOL. Thiago de Almeida Correia ADDRESS RESOLUTION PROTOCOL Thiago de Almeida Correia São Paulo 2011 1. Visão Geral Em uma rede de computadores local, os hosts se enxergam através de dois endereços, sendo um deles o endereço Internet

Leia mais

Organização e Arquitetura de Computadores I

Organização e Arquitetura de Computadores I Organização e Arquitetura de Computadores I Aritmética Computacional Slide 1 Sumário Unidade Lógica e Aritmética Representação de Números Inteiros Aritmética de Números Inteiros Representação de Números

Leia mais

Noções de. Microsoft SQL Server. Microsoft SQL Server

Noções de. Microsoft SQL Server. Microsoft SQL Server Noções de 1 Considerações Iniciais Basicamente existem dois tipos de usuários do SQL Server: Implementadores Administradores 2 1 Implementadores Utilizam o SQL Server para criar e alterar base de dados

Leia mais

Na medida em que se cria um produto, o sistema de software, que será usado e mantido, nos aproximamos da engenharia.

Na medida em que se cria um produto, o sistema de software, que será usado e mantido, nos aproximamos da engenharia. 1 Introdução aos Sistemas de Informação 2002 Aula 4 - Desenvolvimento de software e seus paradigmas Paradigmas de Desenvolvimento de Software Pode-se considerar 3 tipos de paradigmas que norteiam a atividade

Leia mais

Ministério da Educação Secretaria de Educação Profissional e Tecnológica Instituto Federal de Educação, Ciência e Tecnologia do Rio Grande do Sul

Ministério da Educação Secretaria de Educação Profissional e Tecnológica Instituto Federal de Educação, Ciência e Tecnologia do Rio Grande do Sul QUESTÃO: 29 Além da alternativa a estar correta a alternativa e também pode ser compreendida como correta. Segundo a definição de diversos autores, a gerência de falhas, detecta, isola, notifica e corrige

Leia mais

Notas da Aula 17 - Fundamentos de Sistemas Operacionais

Notas da Aula 17 - Fundamentos de Sistemas Operacionais Notas da Aula 17 - Fundamentos de Sistemas Operacionais 1. Gerenciamento de Memória: Introdução O gerenciamento de memória é provavelmente a tarefa mais complexa de um sistema operacional multiprogramado.

Leia mais

Esta dissertação apresentou duas abordagens para integração entre a linguagem Lua e o Common Language Runtime. O objetivo principal da integração foi

Esta dissertação apresentou duas abordagens para integração entre a linguagem Lua e o Common Language Runtime. O objetivo principal da integração foi 5 Conclusão Esta dissertação apresentou duas abordagens para integração entre a linguagem Lua e o Common Language Runtime. O objetivo principal da integração foi permitir que scripts Lua instanciem e usem

Leia mais

SISTEMAS OPERACIONAIS. Apostila 01 Assunto: Tipos de Sistemas Operacionais UNIBAN

SISTEMAS OPERACIONAIS. Apostila 01 Assunto: Tipos de Sistemas Operacionais UNIBAN SISTEMAS OPERACIONAIS Apostila 01 Assunto: Tipos de Sistemas Operacionais UNIBAN 2.0 - INTRODUÇÃO Os tipos de sistemas operacionais e sua evolução estão intimamente relacionados com a evolução do hardware

Leia mais

Felipe Pedroso Castelo Branco Cassemiro Martins BALANCED SCORECARD FACULDADE BELO HORIZONTE

Felipe Pedroso Castelo Branco Cassemiro Martins BALANCED SCORECARD FACULDADE BELO HORIZONTE Felipe Pedroso Castelo Branco Cassemiro Martins BALANCED SCORECARD FACULDADE BELO HORIZONTE Belo Horizonte 2011 Felipe Pedroso Castelo Branco Cassemiro Martins BALANCED SCORECARD FACULDADE BELO HORIZONTE

Leia mais

Introdução a Informática. Prof.: Roberto Franciscatto

Introdução a Informática. Prof.: Roberto Franciscatto Introdução a Informática Prof.: Roberto Franciscatto 3.1 EXECUÇÃO DAS INSTRUÇÕES A UCP tem duas seções: Unidade de Controle Unidade Lógica e Aritmética Um programa se caracteriza por: uma série de instruções

Leia mais

Sistemas Operacionais Gerência de Dispositivos

Sistemas Operacionais Gerência de Dispositivos Universidade Estadual de Mato Grosso do Sul UEMS Curso de Licenciatura em Computação Sistemas Operacionais Gerência de Dispositivos Prof. José Gonçalves Dias Neto profneto_ti@hotmail.com Introdução A gerência

Leia mais

Algoritmos e Programação (Prática) Profa. Andreza Leite andreza.leite@univasf.edu.br

Algoritmos e Programação (Prática) Profa. Andreza Leite andreza.leite@univasf.edu.br (Prática) Profa. Andreza Leite andreza.leite@univasf.edu.br Introdução O computador como ferramenta indispensável: Faz parte das nossas vidas; Por si só não faz nada de útil; Grande capacidade de resolução

Leia mais