ACIONAMENTO DE GRUPOS GERADORES ATRAVÉS DE FPGAs

Tamanho: px
Começar a partir da página:

Download "ACIONAMENTO DE GRUPOS GERADORES ATRAVÉS DE FPGAs"

Transcrição

1 ACIONAMENTO DE GRUPOS GERADORES ATRAVÉS DE FPGAs DIAS 1, Wanderson Roger Azevedo, FENDT 1, Letícia Carvalho Pivetta, ALMEIDA 1, Milcíades Alves de, BARBOSA 1, Edmundo 1 Centro Universitário Luterano de Ji-Paraná (CEULJI / ULBRA) CEP Ji-Paraná RO Brazil wrogerad@hotmail.com, {leticia,malves,edmundo}@inf.ulbrajp.com.br Abstract. This paper considers the elaboration of circuit for drive of generating groups, through tools for projects in digital systems and circuits, to be implemented in Field Programmable Gate Arrays (FPGAs). Resumo. Este artigo apresenta a elaboração de circuito para acionamento de grupos geradores, através de ferramentas para projetos em sistemas e circuitos digitais, a ser implementado em Matrizes de Portas Programáveis em Campo (FPGAs). 1. Introdução Grupo Gerador é um conjunto composto por motor diesel, alternador trifásico, painel com Unidade de Supervisão de Corrente Alternada (USCA) e o Quadro de Transferência Automático (QTA), com o objetivo de trabalhar em regime de emergência, suprindo a falta de energia da rede comercial. Portanto, o acionamento do grupo gerador se dá de forma manual ou automática e a partir do momento que falta energia elétrica na rede. A busca por uma fonte de energia suplementar ao fornecimento da concessionária local tem sido um fator de preocupação cada vez maior nas indústrias com alimentação elétrica em média e alta tensão. Este trabalho está restrito a elaboração do projeto de um sistema digital de acionamento automático para grupos geradores, portanto, é desenvolvido em nível de projeto um módulo principal, ou seja, a USCA, através de dispositivos de lógica programável. A USCA gerenciará o acionamento automático para grupos geradores, com as funções de supervisão das fases da rede comercial e do grupo gerado, além do procedimento de partida, parada e transferência de carga do grupo gerador. 2. Matriz de Portas Programáveis em Campo - FPGA O rápido desenvolvimento dos recursos de concepção de circuitos integrados, tanto na área de processos quanto na área de projeto, tornou possível o aparecimento de dispositivos com Lógica Programável. Tais dispositivos

2 permitem aos usuários implementar circuitos complexos sem a necessidade do uso de onerosos recursos de fundição em silício. A primeira utilização destes circuitos é naturalmente nos projetos de prototipagem. Tendo em vista que a maioria destes circuitos pode ser reprogramado, o seu uso nas fases preliminares de projeto possibilita uma grande economia de tempo e dinheiro. FPGA é um circuito integrado digital que contém uma estrutura regular de células configuráveis e de interligações programáveis pelo usuário final, e que podem ser usadas para construir sistemas digitais, apenas limitados pelo número de células e ligações disponíveis. Através desses componentes pode-se implementar um circuito digital praticamente em tempo de simulação. A figura 1 apresenta a arquitetura básica de uma FPGA. FPGA é um módulo que pode ser programado para implementar um sistema digital que consiste em dezenas de milhares de portas lógicas, permitindo a realização de sistemas complexos em um único chip, conforme [ERCEGOVAC, LANG & MORENO 2000]. Figura 1. Estrutura básica de uma FPGA Fonte [RAMALHO 2001] A estrutura básica de uma FPGA, citada por [ORDONEZ, PEREIRA, PENTEADO & PERICINI 2003], pode variar de fabricante (ALTERA, XILINX e ACTEL), família ou até em uma mesma família podem existir variações. O fundamental é que exista sempre os seguintes recursos nas FPGAs: Bloco Lógico Configurável (CLB), é a unidade lógica de uma FPGA; Bloco de Entradas e Saídas (IOB), localizado nas periferias das FPGAs, são responsáveis pela interface com o ambiente; Caixa de Conexão (SB), responsável pela interconexão entre os CLBs, através dos canais de roteamento. Pelo fato de poderem ser programáveis pelo usuário final do circuito, as FPGAs trouxeram ao desenvolvimento de hardware quase a mesma agilidade do mercado de software, ou seja, sobre a base fixa (microprocessador ou FPGA) pode-se personalizar o sistema para uma aplicação específica (através de um

3 programa ou pela programação da conexão entre portas), conforme citado em [CARRO 2001]. Devido aos custos que envolvem a elaboração e desenvolvimento de hardware, além da tendência de sempre ter de alterar o projeto a posteriori por modificações de especificações ou até mesmo, necessidades de novos projetos, a opção pelo uso de uma FPGA como tecnologia alvo de prototipação para hardware é comum. 3. Fluxo de projeto utilizando FPGA Basicamente é o mesmo utilizado para o desenvolvimento de circuitos complexos em Gate Array. A única diferença é que, as FPGAs podem ser programáveis pelo usuário final e, portanto, não é necessária a intervenção de uma fábrica para obtenção do circuito. Veja a figura 2 um exemplo de fluxo de projeto com FPGA. Especificação do Circuito VHDL / Verilog / Esquemático Simulação Escolha da família e do CHIP (automática ou manual) Síntese e Assinalamento Programação / Uso Figura 2. Fluxo de projeto com FPGAs Fonte [CARRO 2001] Conforme mencionado anteriormente, este trabalho está restrito à elaboração do projeto de um sistema digital de acionamento automático para grupos geradores, portanto, é desenvolvido em nível de projeto um módulo principal, ou seja, a USCA, através dispositivos de lógica programável. Somente serão cumpridas as etapas de Especificação do Circuito, Simulação e Escolha do Chip. As demais etapas serão efetuadas em um momento posterior, quando os recursos monetários para o projeto forem obtidos, pois é necessária a aquisição da FPGA específica, além de possivelmente um kit de transferência da

4 programação entre a ferramenta escolhida para especificação do circuito e a placa. 4. Ferramentas para Especificação do Circuito Várias ferramentas de CAD (Computer-aided design - Projeto Auxiliado por Computador) estão disponíveis para ajudar a tornar os processos de elaborações de projetos de sistemas e circuitos digitais eficientes e econômicos, conforme [ERCEGOVAC, LANG & MORENO 2000], tais como: Max+Plus II, CircuitMaker, VHDL Wizard, Logic Simulator Xilinx, Bascom-AVR e outras ferramentas. A concepção de um circuito ou sistema integrado é normalmente dividida em etapas devido à complexidade do problema. Cada uma destas etapas pode ser feita através de uma ferramenta de CAD específica. A tabela 1 exemplifica algumas ferramentas utilizadas para a elaboração de projetos de sistemas e circuitos digitais. Fluxo do Projeto Edição e Captura Editor de layout Editor de esquemático Editor de linguagem de descrição de hardware Síntese Comportamental Lógica Física Simulação Elétrico Lógico Funcional Comportamental Verificação Regras de projetos Regras elétricas Timing Tabela 1. Fluxos dos Projetos e Ferramentas Max+Plus II Lógica Circuit Maker Lógica e Eletrônica Ferramentas VHDL Wizard Logic Simulator Xilinx Lógica Bascom AVR

5 É possível classificar operações pertinentes ao fluxo do projeto, tais como: edição e captura, síntese, simulação e verificação, conforme [REIS 2000]. Ferramentas de edição e captura permitem ao usuário editar uma descrição aceita por uma ferramenta de CAD integrada ao fluxo do projeto; Ferramentas de síntese permitem a passagem automática de um nível de descrição para outro nível inferior. Ferramentas de simulação são usadas para verificar a operação do sistema, como detectar erros num projeto, determinar características como retardo e consumo de energia, que são difíceis de se obter analiticamente, de acordo com [ERCEGOVAC, LANG & MORENO 2000]. Dentre as ferramentas apresentadas na tabela 1, a Max+Plus II foi escolhida para execução deste projeto, pois além de apresentar as características expostas na tabela, a Max+Plus II é uma ferramenta gratuita, basta o usuário registrá-la no próprio site da Altera (fabricante), para obter a licença de uso da ferramenta e ter todo acesso às funcionalidades da ferramenta. A Max+Plus II é um ambiente que integra um conjunto de ferramentas para projeto de sistemas digitais baseados nos PLDs (Dispositivo Lógico Programável), permitindo ao projetista desenhar um diagrama lógico (esquemático) ou especificar o circuito através de VHDL (Linguagem de Descrição de Hardware), simular o funcionamento do circuito especificado e sintetizá-lo mapeando-o para as FPGAs. Além disso, a Max+Plus II é composta por uma série de ferramentas que possibilitam a análise dos tempos do circuito (timing), a editação dos layouts interno e externo do dispositivo, entre outras, segundo [ZEFERINO 2000]. 5. Especificação da USCA O funcionamento da USCA para partida e parada são descritos a seguir com o auxílio da figura 3, que apresenta o modelo do sistema digital para o acionador de grupos geradores em nível de bloco. A tabela 2 é utilizada para descrever as abreviações dos componentes, que pertencem ao projeto elaborado com as suas respectivas funções e significado. Figura 3. Descrição do acionador do grupo gerador em nível de bloco

6 Tabela 2. Siglas e Funções/Significados Sigla Função / Significado Tipo Tempo de Execução STRC Sensoriza a Tensão da Rede Comercial F Constante STGG Sensoriza a Tensão do Grupo Gerador F Constante QTA Quadro de Transferência Automática da carga elétrica da F Desprezado rede/grupo ou grupo/rede Chv1 Chave 1 seletora de modo : manual ou automático F Desprezado Bt1 Botão 1 acionadora de comando de modo : manual F Desprezado FA1 Fase A 1 : entrada de energia elétrica na rede comercial F Desprezado FA2 Fase A 2 : entrada de energia elétrica na rede comercial F Desprezado FA3 Fase A 3 : entrada de energia elétrica na rede comercial F Desprezado FB1 Fase B 1 : entrada de energia elétrica do grupo gerador F Desprezado FB2 Fase B 2 : entrada de energia elétrica do grupo gerador F Desprezado FB3 Fase B 3 : entrada de energia elétrica do grupo gerador F Desprezado Temp1 Temporizará o sinal que indicará a falta de energia F 5 segundos Temp2 Temporizará o tempo que ficará acionando a partida do grupo gerador F 6 segundos Temp3 Temporizará o sinal que confirmará a chegada da energia elétrica na rede comercial F 60 segundos Temp4 Temporizará o resfriamento do motor do grupo gerador F 60 segundos Temp5 Temporizará a parada do grupo gerador F 10 segundos Temp6 Temporizará a transferência da carga elétrica do grupo gerador F 5 segundos Temp7 Temporizará a transferência da carga elétrica da rede F 5 segundos comercial A Automático S Desprezado M Manual S Desprezado GG Grupo Gerador S Desprezado RC Rede Comercial S Desprezado MP Motor de Partida S Desprezado Onde: Tipo = F, indica que a Função/Significado é a função que o dispositivo exercerá; Tipo = S, diz que a Função/Significado é o significado da sigla; Tempo de Execução = Constante, significa a presença ininterrupta de energia elétrica no dispositivo; Tempo de Execução = Desprezado, significa não é levado em conta o tempo presente de energia elétrica o dispositivo Partida do Grupo Gerador Na falta de energia elétrica, ou ausência de uma das fases o STRC constatará a anomalia e emitirá um sinal para Temp1 que após 5 segundos de contagem ininterrupta confirmará a ausência de energia elétrica na RC e emitirá um sinal de comando para Temp2 e para o MP. Entre a comunicação de Temp1 e Temp2 o sinal passará pela Chv1, estando esta no modo M, o acionamento do GG ficará ininterrupto até o pressionamento de Bt1, portanto, se Chv1 estiver no modo A o sinal emitido por

7 Temp1 será contabilizado em Temp2 por 6 segundos, tempo este que ficará acionando o MP para o ligamento total do GG. Após os 6 segundos contabilizados em Temp2 o MP será desligado e o GG será ligado. O STGG receberá a carga do MP e transmitirá um sinal para comutação da carga em Temp6 que por 5 segundos indicará a QTA a desenergização total da RC, passando a energia elétrica a ser fornecida completamente pelo GG. O tempo de acionamento do GG no modo A e a transferência da carga será de 16 segundos Parada do Grupo Gerador Quando a energia elétrica retornar na RC, o STRC constatará a sua presença e emitirá um sinal para Temp3 que após 60 segundos de contagem interrupta será confirmado e transmitido um sinal para comutação da carga em Temp7, que por 5 segundos indicará a QTA a desenergização total do GG, passando a energia elétrica a ser fornecida completamente pela RC. O GG ficará acionado em modo de resfriamento pelo tempo programado em Temp4, que será de 60 segundos. Entre Temp4 e Temp5 o sinal passará pela Chv1, sendo que se o modo do acionamento da Chv1 estiver em M, o desligamento total do GG ficará esperando pelo pressionamento do Bt1 para o sinal ser transmitido ao Temp5 e ocorrer a parada total do GG. Estando Chv1 em no modo A, o sinal em Temp5 será contabilizado por 10 segundos e o GG será desligado automaticamente. O tempo de desligamento do GG no modo A e a transferência da carga será de 135 segundos Descrição Estrutural e Entidade A USCA é formada por componentes, que interligados entre si formarão o sistema digital. O Sensor de Tensão da Rede Comercial (STRC), Sensor de Tensão do Grupo Gerador (STGG) e o Quadro de Transferência Automática (QTA) não são enfatizados o seu funcionamento interno. Portanto, fez-se a descrição estrutural e entidade dos mesmos, onde é levado em conta apenas às portas de entradas e saídas de cada módulo em estudo, constituindo assim, o relacionamento destes componentes (STRC, STGG e QTA) com o mundo externo, ou seja, com os demais componentes que formarão a USCA Estrutura do Projeto do Circuito Digital da USCA O projeto dos circuitos da USCA composta pelos temporizadores foi modelado na ferramenta Max+Plus II da Altera. A figura 4 representa o projeto do sistema digital da USCA pertencente ao presente estudo.

8 Figura 4. Projeto do Sistema Digital da USCA Para implementação dos circuitos digitais dos temporizadores, foram utilizados contadores, pois são circuitos digitais que variam os seus estados, sob o comando de um clock, de acordo com uma seqüência predeterminada. Os contadores são utilizados principalmente para contagens diversas, divisão de freqüências, medição de freqüência e tempo e muitas outras aplicações, conforme [IDOETA & CAPUANO 1998]. As figuras 5 a 11 representam respectivamente o projeto dos circuitos digitais dos temporizadores (Temp1, Temp2, Temp3, Temp4, Temp5, Temp6 e Temp7) pertencente ao projeto do sistema digital da USCA. Figura 5. Projeto do Circuito Digital do Temporizador 1

9 Figura 6. Projeto do Circuito Digital do Temporizador 2 Figura 7. Projeto do Circuito Digital do Temporizador 3

10 Figura 8. Projeto do Circuito Digital do Temporizador 4 Figura 9. Projeto do Circuito Digital do Temporizador 5

11 Figura 10. Projeto do Circuito Digital do Temporizador 6 Figura 11. Projeto do Circuito Digital do Temporizador 7 A prototipação física dos temporizadores, pode ser implementada utilizando CIs do tipo: Flip-Flop T, Portas (Input e Output), and6, 7404, 7410, 7411, 7420 e 7421; ou simplesmente através de FPGA, sendo necessário, após sua montagem, compilação, simulação e teste, na ferramenta Max+Plus II, a transmissão, por um Kit de Transferência, entre o computador e a pastilha de FPGA acoplada em uma proto-board.

12 Na elaboração do projeto do sistema digital da USCA utilizando uma FPGA da Altera, a que melhor se adequou com o perfil do projeto foi a FPGA da família MAX7000S e modelo EPM7032SLC44-5. A pastilha de FPGA da família MAX7000S e modelo EPM7032SLC44-5 custa em média US$ 15,00, valor unitário, e o Kit de Transferência da programação entre a ferramenta Max+Plus II e a pastilha da FPGA custa em torno de US$ 720,00, valor unitário. Portanto, para prototipação e implantação do sistema acionador de grupos geradores (módulo USCA) em uma FPGA, é necessária a aquisição da FPGA e o Kit de Transferência da Altera. Outros dispositivos auxiliares serão primordiais para conclusão da prototipação e implantação do módulo da USCA como: Sensores, QTA e Motor de Partida. 6. Conclusão A prototipação de sistemas digitais permite economias de tempo e dinheiro tendo a sua realização facilitada pela grande evolução tecnológica presenciada atualmente. Levando isso em consideração, no presente trabalho, realizou-se o projeto para acionador de grupos geradores, através do estudo de ferramentas de desenvolvimento de sistemas e circuitos digitais, e da prototipação sobre FPGAs. Atendendo aos objetivos inicialmente definidos, foi realizado, na ferramenta gratuita Max+Plus II, o projeto do sistema digital do módulo principal do acionador de grupos geradores, a USCA (Unidade de Supervisão de Corrente Alternada). A FPGA que melhor se adequou com o perfil do projeto foi a da família Altera MAX7000S, modelo EPM7032SLC44-5. No estado de Rondônia, a energia através de linhas de transmissão foi disseminada somente depois de meados dos anos 90. Os geradores ainda são utilizados em larga escala no estado, e em sua grande maioria tem partida manual. Portanto, além da contribuição científica deste estudo, há a possibilidade de se transformar em inovação tecnológica para a indústria local e regional, pois está sendo estudada uma parceria com uma empresa de engenharia elétrica local que se mostrou interessada em dar continuidade ao projeto, fazendo uma análise da viabilidade da produção industrial do Acionador de Grupos Geradores. 7. Referências Bibliográficas [CARRO 2001] - CARRO, Luigi. Projeto e Prototipação de Sistemas Digitais. - Porto Alegre: Ed. Universidade / UFRGS, p. [ERCEGOVAC, LANG & MORENO 2000] - ERCEGOVAC, Milos, LANG, Tomás, MORENO, Jaime H.: tradução José Carlos Barbosa dos Santos. Introdução aos Sistemas Digitais. - Porto Alegre : Bookman, p. [IDOETA & CAPUANO 1998] - IDOETA, Ivan Valeije, CAPUANO, Francisco Gabriel. Elementos de Eletrônica Digital.- São Paulo : Érica, 1998, 28ª ed. 524p. [ORDONEZ, PEREIRA, PENTEADO & PERICINI 2003] - ORDONEZ, Edward David Moreno, PEREIRA, Fábio Dacêncio, PENTEADO, César Giacomini,

13 PERICINI, Rodrigo de Almeida. Projeto, Desempenho e Aplicações de Sistemas Digitais em Circuitos Programáveis (FPGAs). - Pompéia: Bless, 2003, 240p. [RAMALHO 2001] - RAMALHO, Daniela Gomes. Desenvolvimento de uma Plataforma de Prototipação Rápida usando um Sistema Multi-FPGAs. - Recife: Universidade Federal de Pernambuco, 2001, 66p. (TCC). [REIS 2000] - REIS, Ricardo Augusto da Luz. Concepção de Circuitos Integrados. - Porto Alegre: Editora Sagra Luzzatto / Instituto de Informática UFRGS, p. [ZEFERINO 2000] - ZEFERINO, César Albenes. Introdução ao MAX-Plus II. In : Escola de Microeletrônica, Porto Alegre, RS, 7 a 9 de Abril. Anais. Porto Alegre, EMICRO, 2000, v. I, p. 1-8.

Projeto de Implementação de um Acionador de Grupos Geradores usando Prototipação de Sistemas Digitais

Projeto de Implementação de um Acionador de Grupos Geradores usando Prototipação de Sistemas Digitais Projeto de Implementação de um Acionador de Grupos Geradores usando Prototipação de Sistemas Digitais Wanderson Roger Azevedo Dias 1, Letícia Carvalho Pivetta Fendt 1, Milcíades Alves de Almeida 1, Edmundo

Leia mais

Dispositivos de Lógica Programável

Dispositivos de Lógica Programável Dispositivos de Lógica Programável Evolução Válvula no início de 1940 Transistor em 1947 Não aquece como as válvulas Fisicamente menor 1961 primeiro integrado TTL 74LSXX Década de 1970 surge SPLD Simple

Leia mais

Parte # 2 - Circuitos Combinatórios

Parte # 2 - Circuitos Combinatórios CEFET Departamento de Engenharia Elétrica - DEPEL GELE 7163 Eletrônica Digital Parte # 2 - Circuitos Combinatórios 1 GELE 7163 Eletrônica Digital 2 Referências : Notas de Aula. Mendonça, Alexandre e Zelenovsky,

Leia mais

Dispositivo Lógico Programável(PLD)

Dispositivo Lógico Programável(PLD) Dispositivo Lógico Programável(PLD) Para reduzir o número de CI's(Circuitos Integrados) a serem usados num projeto digital, é necessário colocar mais funções em um mesmo chip. Isso tem sido feito com evolução

Leia mais

Hardware Reconfigurável

Hardware Reconfigurável Universidade Federal do Rio Grande do Norte Departamento de Engenharia de Computação e Automação Hardware Reconfigurável DCA0119 Sistemas Digitais Heitor Medeiros Florencio Tópicos Alternativas de projeto

Leia mais

Ciclo de Seminários Técnicos

Ciclo de Seminários Técnicos A Computação Reconfigurável no Desenvolvimento de um Sistema Digital Delano Oliveira (delano@dsc.ufcg.edu.br) DSC/CEEI/UFCG Agenda Motivação Objetivo Conceitos Fluxo de Projeto Considerações Finais 2 Motivação

Leia mais

Dispositivos Lógicos Programáveis (PLDs) Leandro Schwarz

Dispositivos Lógicos Programáveis (PLDs) Leandro Schwarz Dispositivos Lógicos Programáveis (PLDs) Leandro Schwarz Conceito PLD - Programmable Logic Device; Circuito integrado com grande número de portas lógicas configuráveis, flip-flops e registradores; Permite

Leia mais

Circuitos Digitais Representação Numérica. Sistema Digital. Circuitos Digitais. Conversão A/D e D/A. Circuitos Digitais

Circuitos Digitais Representação Numérica. Sistema Digital. Circuitos Digitais. Conversão A/D e D/A. Circuitos Digitais 2 Sistemas Digitais Aula 2 Introdução à Sistemas Embarcados Prof. Abel Guilhermino Centro de Informática Universidade Federal de Pernambuco Circuitos Digitais Representação Numérica Analógica As entradas

Leia mais

Sistemas Digitais I LESI :: 2º ano. Introdução

Sistemas Digitais I LESI :: 2º ano. Introdução Sistemas Digitais I LESI :: 2º ano Introdução António Joaquim Esteves João Miguel Fernandes www.di.uminho.pt/~aje Bibliografia: capítulo 1, DDPP, Wakerly DEP. DE INFORMÁTICA ESCOLA DE ENGENHARIA UNIVERSIDADE

Leia mais

ELD - Eletrônica Digital Aula 11 Introdução à Lógica Programável. Prof. Antonio Heronaldo de Sousa

ELD - Eletrônica Digital Aula 11 Introdução à Lógica Programável. Prof. Antonio Heronaldo de Sousa ELD - Eletrônica Digital Aula 11 Introdução à Lógica Programável Prof. Antonio Heronaldo de Sousa Agenda - Introdução - Lógica Programável - Dispositivos de Lógica Programável - Arranjos Lógicos Programáveis

Leia mais

FPGA & VHDL. Tutorial Aula 1. Computação Digital

FPGA & VHDL. Tutorial Aula 1. Computação Digital FPGA & VHDL Tutorial Aula 1 Computação Digital FPGA Field Programmable Gate Array Dispositivo lógico contendo uma matriz de: Células lógicas genéricas Configuráveis ( programáveis ) para desempenhar uma

Leia mais

FPGA & VHDL. Tutorial

FPGA & VHDL. Tutorial FPGA & VHDL Tutorial 2009-2 FPGA FieldProgrammableGateArray Dispositivo lógico contendo uma matriz de: Células lógicas genéricas Configuráveis ( programadas ) para desempenhar uma função simples Chaves

Leia mais

Revisão: Projeto e síntese de Circuitos Digitais em FPGA

Revisão: Projeto e síntese de Circuitos Digitais em FPGA Universidade Federal do Rio Grande do Norte Departamento de Engenharia de Computação e Automação Revisão: Projeto e síntese de Circuitos Digitais em FPGA DCA0119 Sistemas Digitais Heitor Medeiros Florencio

Leia mais

Introdução à Computação

Introdução à Computação Universidade Federal de Campina Grande Departamento de Sistemas e Computação Introdução à Computação Conceitos Básicos de Eletrônica Digital (Parte IV) Prof. a Joseana Macêdo Fechine Régis de Araújo joseana@computacao.ufcg.edu.br

Leia mais

CONTROLE DE UM SERVO MOTOR

CONTROLE DE UM SERVO MOTOR CONTROLE DE UM SERVO MOTOR Versão 2015 RESUMO Esta experiência tem como objetivo a familiarização e o projeto de um circuito de controle simples de um servo motor. A parte experimental inclui atividades

Leia mais

Introdução ao Max+Plus II

Introdução ao Max+Plus II Universidade Federal Fluminense Escola de Engenharia Departamento de Engenharia de Telecomunicações Técnicas Digitais A Laboratório no. 02 Objetivo Iniciar o aprendizado do software Max+Plus II utilizado

Leia mais

PROJETO LÓGICO DE COMPUTADORES Prof. Ricardo Rodrigues Barcelar

PROJETO LÓGICO DE COMPUTADORES Prof. Ricardo Rodrigues Barcelar - Aula 1 - O NÍVEL DA LÓGICA DIGITAL 1. INTRODUÇÃO Na parte inferior da hierarquia da figura abaixo encontramos o nível da lógica digital, o verdadeiro hardware do computador. Este nível situa-se na fronteira

Leia mais

Introdução ao Projeto de Sistemas Digitais com Dispositivos Programáveis

Introdução ao Projeto de Sistemas Digitais com Dispositivos Programáveis Introdução ao Projeto de Sistemas Digitais com Dispositivos Programáveis Versão 2013 RESUMO Nesta experiência será desenvolvido um projeto de sistema digital em um dispositivo programável (FPGA) com a

Leia mais

ELETRÔNICA DIGITAL I

ELETRÔNICA DIGITAL I ELETRÔNICA DIGITAL I Parte 0 Introdução Professor Dr. Michael Klug 1 Analógico x Digital Representações: Analógica = contínua Digital = discreta (passo a passo) 2 Vantagens Técnicas Digitais 1) Fáceis

Leia mais

UNIVERSIDADE FEDERAL RURAL DO SEMI-ÁRIDO CURSO: CIÊNCIA DA COMPUTAÇÃO 9º PERÍODO. Profª Danielle Casillo

UNIVERSIDADE FEDERAL RURAL DO SEMI-ÁRIDO CURSO: CIÊNCIA DA COMPUTAÇÃO 9º PERÍODO. Profª Danielle Casillo UNIVERSIDADE FEDERAL RURAL DO SEMI-ÁRIDO CURSO: CIÊNCIA DA COMPUTAÇÃO 9º PERÍODO Profª Danielle Casillo Ambiente de software desenvolvido para a programação, configuração, depuração e documentação de programas

Leia mais

Profa. Luiza Maria Romeiro Codá Profa. Dra Maria Stela Veludo de Paiva

Profa. Luiza Maria Romeiro Codá Profa. Dra Maria Stela Veludo de Paiva Profa. Luiza Maria Romeiro Codá Profa. Dra Maria Stela Veludo de Paiva são circuitos integrados programáveis pelo usuário, Não apresentam uma função lógica definida, até que sejam configurados. pssuem

Leia mais

Introdução ao Projeto de Sistemas Digitais com Dispositivos Programáveis

Introdução ao Projeto de Sistemas Digitais com Dispositivos Programáveis Introdução ao Projeto de Sistemas Digitais com Dispositivos Programáveis Versão 2012 RESUMO Nesta experiência será apresentada uma metodologia estruturada para projeto de sistemas digitais utilizando FPGAs

Leia mais

ACIONAMENTO DE MÁQUINAS ELÉTRICAS USANDO CLP SIEMENS S7-212

ACIONAMENTO DE MÁQUINAS ELÉTRICAS USANDO CLP SIEMENS S7-212 ACIONAMENTO DE MÁQUINAS ELÉTRICAS USANDO CLP SIEMENS S7-212 Laboratório de Eletrotécnica TÓPICOS PRÁTICAS DE ACIONAMENTOS ELÉTRICOS DE MOTORES COM O USO DE CLP (Controlador Lógico Programável) APRESENTAÇÃO

Leia mais

Introdução ao Projeto de Sistemas Digitais com Dispositivos Programáveis

Introdução ao Projeto de Sistemas Digitais com Dispositivos Programáveis Introdução ao Projeto de Sistemas Digitais com Dispositivos Programáveis E.T.M./2011 (adaptação) RESUMO Nesta experiência será apresentada uma metodologia estruturada para projeto de sistemas digitais

Leia mais

Plano de Ensino. Leandro Schwarz Endereço eletrônico:

Plano de Ensino. Leandro Schwarz Endereço eletrônico: Plano de Ensino Disciplina: Dispositivos Lógicos Programáveis Semestre: 2011/1 Turma: 2030311A Carga horária: 80 horas Professor: Leandro Schwarz () Endereço eletrônico: 1. Objetivos A tecnologia de Dispositivos

Leia mais

EPUSP PCS 3335 Laboratório Digital A. Trena Digital

EPUSP PCS 3335 Laboratório Digital A. Trena Digital Trena Digital Versão 2016 RESUMO Esta experiência tem por objetivo desenvolver uma Trena Digital, ou seja, um circuito digital que realiza a medida de distância para um objeto, usando um sensor ultrassônico

Leia mais

EPUSP PCS 3635 Laboratório Digital I. Trena Digital

EPUSP PCS 3635 Laboratório Digital I. Trena Digital Trena Digital Versão 2016 RESUMO Esta experiência tem por objetivo desenvolver uma Trena Digital, ou seja, um circuito digital que realiza a medida de distância para um objeto, usando um sensor ultrassônico

Leia mais

Plano de Ensino. Leandro Schwarz Endereço eletrônico:

Plano de Ensino. Leandro Schwarz Endereço eletrônico: Plano de Ensino Disciplina: Dispositivos Lógicos Programáveis Semestre: 2011/1 Turma: 1880331A Carga horária: 120 horas Professor: Leandro Schwarz () Endereço eletrônico: 1. Objetivos A tecnologia de Dispositivos

Leia mais

Tópicos Avançados em Sistemas Computacionais: Infraestrutura de Hardware Aula 02

Tópicos Avançados em Sistemas Computacionais: Infraestrutura de Hardware Aula 02 Tópicos Avançados em Sistemas Computacionais: Infraestrutura de Hardware Aula 02 Prof. Max Santana Rolemberg Farias max.santana@univasf.edu.br Colegiado de Engenharia de Computação POR QUE APRENDER CONCEITOS

Leia mais

DISPOSITIVOS LÓGICOS PROGRAMÁVEIS. SEL Sistemas Digitais Prof. Homero Schiabel

DISPOSITIVOS LÓGICOS PROGRAMÁVEIS. SEL Sistemas Digitais Prof. Homero Schiabel DISPOSITIVOS LÓGICOS PROGRAMÁVEIS SEL 414 - Sistemas Digitais Prof. Homero Schiabel 1. Introdução Operação do circuito lógico pode ser descrita por: Tabela da Verdade Expressão booleana Dispositivo de

Leia mais

DISPOSITIVOS LÓGICOS PROGRAMÁVEIS DLP. 15/8/2013 Prof. Joselito ELP1DLP1 / npee / DEE 1

DISPOSITIVOS LÓGICOS PROGRAMÁVEIS DLP. 15/8/2013 Prof. Joselito ELP1DLP1 / npee / DEE 1 DISPOSITIVOS LÓGICOS PROGRAMÁVEIS DLP 15/8/2013 Prof. Joselito ELP1DLP1 / npee / DEE 1 1. FAMILIAS DE CIRCUITOS LÓGICOS DIGITAIS 1.1. Família Lógica TTL 1.2. Família Lógica MOS/CMOS 15/8/2013 Prof. Joselito

Leia mais

Tecnologias Digitais

Tecnologias Digitais Tecnologias Digitais MicroControlador Portas Lógicas PAL Processado r ARM CPLD FPGA Sinais analógicos e Digitais Sinais analógicos e Digitais Os sinais são analógicos quando as tensões medidas ao longo

Leia mais

Universidade Federal do Paraná Setor Palotina Departamento de Engenharias e Exatas Engenharia de Energias Renováveis

Universidade Federal do Paraná Setor Palotina Departamento de Engenharias e Exatas Engenharia de Energias Renováveis Universidade Federal do Paraná Setor Palotina Departamento de Engenharias e Exatas Engenharia de Energias Renováveis Disciplina: Automação Docente: Maurício Romani Acadêmico: Exercícios. 1) A figura a

Leia mais

Projeto com Linguagens de Descrição de Hardware

Projeto com Linguagens de Descrição de Hardware Projeto com Linguagens de Descrição de Hardware Versão 2012 RESUMO Esta experiência consiste no projeto e implementação de um circuito digital simples com o uso de uma linguagem de descrição de hardware.

Leia mais

UMA HIERARQUIA DE MEMÓRIA PARA UM MODELO RTL DO PROCESSADOR RISC-V SINTETISÁVEL EM FPGA

UMA HIERARQUIA DE MEMÓRIA PARA UM MODELO RTL DO PROCESSADOR RISC-V SINTETISÁVEL EM FPGA UNIVERSIDADE FEDERAL DE PERNAMBUCO CENTRO DE INFORMÁTICA GRADUAÇÃO EM ENGENHARIA DA COMPUTAÇÃO UMA HIERARQUIA DE MEMÓRIA PARA UM MODELO RTL DO PROCESSADOR RISC-V SINTETISÁVEL EM FPGA PROPOSTA DE TRABALHO

Leia mais

PROJETO DE SISTEMAS. DIGITAIS UTILIZANDO FPGAs. Parte 1

PROJETO DE SISTEMAS. DIGITAIS UTILIZANDO FPGAs. Parte 1 Pontifícia Universidade Católica de São Paulo Centro das Ciências Exatas e Tecnologia Engenharia Elétrica PROJETO DE SISTEMAS DIGITAIS UTILIZANDO FPGAs Parte 1 Prof Edson Lemos Horta Profª Edith Ranzini

Leia mais

APRESENTAÇÃO DO KIT CPLD_EE01

APRESENTAÇÃO DO KIT CPLD_EE01 APRESENTAÇÃO DO KIT CPLD_EE01 O kit CPLD_EE01 foi desenvolvido para alunos de cursos técnicos, engenharia e desenvolvedores na área de circuitos digitais, o mesmo conta com alguns módulos que podem ser

Leia mais

Comunicação Serial Assíncrona

Comunicação Serial Assíncrona Comunicação Serial Assíncrona Versão 2016 RESUMO O objetivo desta experiência é projetar circuitos digitais para comunicação serial de dados (transmissão de dados) com um terminal de dados, utilizando

Leia mais

Aula 01. Apresentação da Disciplina e Ementa. Prof. Otávio Gomes https://sites.google.com/a/ifmg.edu.

Aula 01. Apresentação da Disciplina e Ementa. Prof. Otávio Gomes https://sites.google.com/a/ifmg.edu. Aula 01 Apresentação da Disciplina e Ementa. Prof. Otávio Gomes otavio.gomes@ifmg.edu.br https://sites.google.com/a/ifmg.edu.br/otavio-gomes/ 1 Ementa: Circuitos Lógicos Combinacionais. Flip-flops e dispositivos

Leia mais

LAB4 Introdução aos Controladores Lógicos Programáveis

LAB4 Introdução aos Controladores Lógicos Programáveis LAB4 Introdução aos Controladores Lógicos Programáveis 4.1 Introdução Os Controladores Lógicos Programáveis (CLPs) são dispositivos digitais, muito utilizados na indústria, capazes de armazenar instruções

Leia mais

TECNOLOGIA EDUCACIONAL

TECNOLOGIA EDUCACIONAL TECNOLOGIA EDUCACIONAL CONJUNTO PARA ESTUDO DE CONTROLADORES LÓGICOS PROGRAMÁVEIS E IHM Características Gerais Composto por hardware, software e sistema de aprendizagem tecnológica de sistemas automatizados

Leia mais

FPGA - Field Programmable Gate Array

FPGA - Field Programmable Gate Array FPGA - Field Programmable Gate Array 1985/86: Xilinx introduz nova família de PLDs FPGA - Field Programmable Gate Array agregados regulares de blocos lógicos configuráveis (CLB para XILINX) ligações programáveis

Leia mais

Profª Danielle Casillo

Profª Danielle Casillo UNIVERSIDADE FEDERAL RURAL DO SEMI-ÁRIDO CURSO: CIÊNCIA DA COMPUTAÇÃO Automação e Controle CLP ezap900 e Ambiente de programação SPDSW Profª Danielle Casillo Kit Didático ezap900 É um módulo didático baseado

Leia mais

Projeto de Hardwares para Apoio ao Ensino e Execução de Palestras e Workshops

Projeto de Hardwares para Apoio ao Ensino e Execução de Palestras e Workshops Universidade de São Paulo Projeto de Hardwares para Apoio ao Ensino e Execução de Palestras e Workshops Bruno Rafael Aricó - NUSP: 8125459 19 de agosto de 2017 1 Introdução: Observando a dificuldade de

Leia mais

O Mundo Real é Analógico ou Digital?

O Mundo Real é Analógico ou Digital? Analógico / Digital O Mundo Real é Analógico ou Digital? O que define uma grandeza analógica? Os sinais analógicos podem tomar qualquer valor num intervalo contínuo de tensão, corrente, resistência ou

Leia mais

Projeto Moderno de Sistemas Digitais

Projeto Moderno de Sistemas Digitais Projeto Moderno de Sistemas Digitais Edson Midorikawa 1 Tópicos Projeto Convencional Projeto com HDLs e FPGAs Fluxo de Projeto Moderno Codificação em HDLs Altera DE2 Digilent Nexys 3 2 Tecnologias de Lógica

Leia mais

Painel Luminoso com LEDs

Painel Luminoso com LEDs Painel Luminoso com LEDs Versão 2007 RESUMO Esta experiência consiste no projeto e na implementação do circuito de controle de um painel luminoso composto por LEDs (diodos emissores de luz). Através da

Leia mais

Faculdade SENAI Rio. Infraestrutura Graduação Tecnológica em Automação Industrial

Faculdade SENAI Rio. Infraestrutura Graduação Tecnológica em Automação Industrial Faculdade SENAI Rio Infraestrutura Graduação Tecnológica em Automação Industrial Laboratório de Eletrônica Possui kits didáticos de Eletrônica Analógica e Digital e diversos equipamentos tais como: osciloscópios

Leia mais

Proposta de uma plataforma de monitoramento e acionamento remoto voltada para sistemas de hardware industriais utilizando LabVIEW

Proposta de uma plataforma de monitoramento e acionamento remoto voltada para sistemas de hardware industriais utilizando LabVIEW Proposta de uma plataforma de monitoramento e acionamento remoto voltada para sistemas de hardware industriais utilizando LabVIEW "Este artigo tem como proposta apresentar uma plataforma para monitoramento

Leia mais

Portas lógicas Arquitetura e Organização de Computadores Curso de Análise e Desenvolvimento de Sistemas

Portas lógicas Arquitetura e Organização de Computadores Curso de Análise e Desenvolvimento de Sistemas Portas lógicas Arquitetura e Organização de Computadores Curso de Análise e Desenvolvimento de Sistemas 1 Componentes Álgebra dos de computadores Boole Vimos anteriormente que os números binários não representam

Leia mais

Painel Luminoso com LEDs

Painel Luminoso com LEDs Painel Luminoso com LEDs Edson T. Midorikawa/2006 RESUMO Esta experiência consiste no projeto e na implementação do circuito de controle de um painel luminoso composto por LEDs (diodos emissores de luz).

Leia mais

Circuito de Recepção Serial Assíncrona

Circuito de Recepção Serial Assíncrona Circuito de Recepção Serial Assíncrona Versão 2016 RESUMO O objetivo desta experiência é aplicar a metodologia de projeto de circuitos digitais com dispositivos programáveis adotado no Laboratório Digital

Leia mais

ORGANIZAÇÃO CURRICULAR TÉCNICO EM ELETRÔNICA NA MODALIDADE A DISTÂNCIA

ORGANIZAÇÃO CURRICULAR TÉCNICO EM ELETRÔNICA NA MODALIDADE A DISTÂNCIA ORGANIZAÇÃO CURRICULAR TÉCNICO EM ELETRÔNICA NA MODALIDADE A DISTÂNCIA DC 4018 09/10/13 Rev. 01 1. Dados Legais Autorizado pelo Parecer 324 de 21/11/2006, Decreto 4.945 Publicado D.O 18.018 de 04/12/2006.

Leia mais

8º CONGRESSO IBEROAMERICANO DE ENGENHARIA MECANICA Cusco, 23 a 25 de Outubro de 2007

8º CONGRESSO IBEROAMERICANO DE ENGENHARIA MECANICA Cusco, 23 a 25 de Outubro de 2007 8º CONGRESSO IBEROAMERICANO DE ENGENHARIA MECANICA Cusco, 23 a 25 de Outubro de 2007 ACIONADOR DE ORDENS PIROTÉCNICAS BASEADO EM LÓGICA PROGRAMÁVEL ESTRUTURADA Bizarria F. C. B.,2, Bizarria J. W. P. 2,

Leia mais

Automação Industrial PEA-2211: INTRODUÇÃO À ELETROMECÂNICA E À AUTOMAÇÃO AUTOMAÇÃO: CONTROLADOR LÓGICO PROGRAMÁVEL

Automação Industrial PEA-2211: INTRODUÇÃO À ELETROMECÂNICA E À AUTOMAÇÃO AUTOMAÇÃO: CONTROLADOR LÓGICO PROGRAMÁVEL PEA-2211: INTRODUÇÃO À ELETROMECÂNICA E À AUTOMAÇÃO AUTOMAÇÃO: CONTROLADOR LÓGICO PROGRAMÁVEL Histórico Fim da década de 1960: os circuitos integrados permitiram o desenvolvimento de minicomputadores,

Leia mais

Objetivos MICROCONTROLADORES HARDWARE. Aula 03: Periféricos. Prof. Mauricio. MICRO I Prof. Mauricio 1. Arquitetura de um Microcontrolador

Objetivos MICROCONTROLADORES HARDWARE. Aula 03: Periféricos. Prof. Mauricio. MICRO I Prof. Mauricio 1. Arquitetura de um Microcontrolador MICROCONTROLADORES HARDWARE 1 Prof. Mauricio Aula 03: Objetivos 2 Arquitetura de um Microcontrolador Unidade Central de Processamento Portas de Entrada e Saída Porta Serial Temporizador / Contador Conversor

Leia mais

EPUSP PCS 3335 Laboratório Digital A. Um Circuito Digital

EPUSP PCS 3335 Laboratório Digital A. Um Circuito Digital Um Circuito Digital Versão 2016 RESUMO Esta experiência tem como objetivo o desenvolvimento de um circuito digital, especificado a partir de um diagrama ASM e um fluxo de dados. A parte prática deve ser

Leia mais

Aula 11. Dispositivos Lógicos Programáveis. SEL Sistemas Digitais. Prof. Dr. Marcelo Andrade da Costa Vieira

Aula 11. Dispositivos Lógicos Programáveis. SEL Sistemas Digitais. Prof. Dr. Marcelo Andrade da Costa Vieira Aula 11 Dispositivos Lógicos Programáveis SEL 0414 - Sistemas Digitais Prof. Dr. Marcelo Andrade da Costa Vieira 1. Características Gerais l PLD Programmable Logical Device; l Agrupa um grande número de

Leia mais

EPUSP PCS 2011/2305/2355 Laboratório Digital. Frequencímetro

EPUSP PCS 2011/2305/2355 Laboratório Digital. Frequencímetro Frequencímetro Versão 2014 RESUMO Esta experiência tem como objetivo a familiarização com duas classes de componentes: os contadores e os registradores. Para isto, serão apresentados alguns exemplos de

Leia mais

Introdução aos circuitos integrados de aplicação específica

Introdução aos circuitos integrados de aplicação específica Introdução aos circuitos integrados de aplicação específica João Canas Ferreira 2007-09-17 Tópicos de Projecto de VLSI digital Assuntos Tópicos 1 2 Circuitos programáveis 3 Fluxo de projecto Contém figuras

Leia mais

SIMULAÇÃO DE CIRCUITOS E DISPOSITIVOS PROGRAMÁVEIS

SIMULAÇÃO DE CIRCUITOS E DISPOSITIVOS PROGRAMÁVEIS SIMULAÇÃO E CIRCUITOS E ISPOSITIVOS PROGRAMÁVEIS Edson T. Midorikawa / 2007 E.T.M./2011 RESUMO Nesta experiência será discutido o procedimento de simulação de circuitos digitais, em particular sua importância

Leia mais

DADOS DO COMPONENTE CURRICULAR

DADOS DO COMPONENTE CURRICULAR PLANO DE ENSINO DADOS DO COMPONENTE CURRICULAR Nome do Componente Curricular: Sistemas Digitais Curso: Técnico Integrado de Nível Médio em Informática Série/Período: 1º ano Carga Horária: 2 a/s - 80 h/a

Leia mais

Comparação entre Arduino, FPGA, ASIC e SoC

Comparação entre Arduino, FPGA, ASIC e SoC Comparação entre Arduino, FPGA, ASIC e SoC Prof. Odilson Tadeu Valle Instituto Federal de Santa Catarina IFSC Campus São José odilson@ifsc.edu.br 1/22 Conteúdo programático 1 Arduino 2 FPGA 3 ASIC 4 SoC

Leia mais

Circuitos Integrados. Nível da Lógica Digital (Aula 7) Circuitos Combinacionais. Circuitos Lógicos Digitais Básicos. Multiplexadores (1)

Circuitos Integrados. Nível da Lógica Digital (Aula 7) Circuitos Combinacionais. Circuitos Lógicos Digitais Básicos. Multiplexadores (1) Circuitos Integrados Nível da Lógica Digital (Aula 7) Circuitos Lógicos Digitais Básicos Roberta Lima Gomes - LPRM/DI/UFES Sistemas de Programação I Eng. Elétrica 2007/2 CIs (chips/pastilhas) Agrupa portas

Leia mais

CLP ESTRUTURA E FUNCIONAMENTO ROGER NABEYAMA MICHELS

CLP ESTRUTURA E FUNCIONAMENTO ROGER NABEYAMA MICHELS CLP ESTRUTURA E FUNCIONAMENTO ROGER NABEYAMA MICHELS DISPOSITIVO CAPAZ DE Permitir fácil diagnóstico de funcionamento ainda na fase de projeto do sistema e/ou reparos em falhas que venham a ocorrer durante

Leia mais

Projetos de Circuitos Digitais em VHDL e FPGA

Projetos de Circuitos Digitais em VHDL e FPGA Projetos de Circuitos Digitais em VHDL e FPGA Cap. 1 - Dispositivos Lógicos Programáveis Prof. Erivelton Geraldo Nepomuceno Engenharia Elétrica UFSJ - Universidade Federal de São João del-rei 19 de fevereiro

Leia mais

Opções de Design para Circuitos Integrados CMOS

Opções de Design para Circuitos Integrados CMOS Opções de Design para Circuitos Integrados CMOS Para implementar um circuito integrado (CI) em CMOS é possível escolher entre as múltiplas possibilidades existentes no mercado. A escolha deve ser feita

Leia mais

Introdução aos Circuitos Integrados de Aplicação Específica

Introdução aos Circuitos Integrados de Aplicação Específica Introdução aos Circuitos Integrados de Aplicação Específica João Canas Ferreira Projecto de Circuitos VLSI FEUP/LEEC Contém figuras de Application-Specific Integrated Circuits, Michael J. S. Smith, Addison-Wesley

Leia mais

3. CARACTERÍSTICAS TÉCNICAS

3. CARACTERÍSTICAS TÉCNICAS 3. CARACTERÍSTICAS TÉCNICAS 3.2 CARACTERÍSTICAS DE SOFTWARE 1. CARACTERÍSTICAS O CLG535R é um controlador programável que integra os principais recursos empregados em uma automação industrial. Dispõe integrado

Leia mais

Resumo teórico INTRODUÇÃO AOS DISPOSITIVOS LÓGICOS PROGRAMÁVEIS

Resumo teórico INTRODUÇÃO AOS DISPOSITIVOS LÓGICOS PROGRAMÁVEIS Resumo teórico INTRODUÇÃO AOS DISPOSITIVOS LÓGICOS PROGRAMÁVEIS 1 DISPOSITIVOS LÓGICOS PROGRAMÁVEIS Edith Ranzini e Edson Lemos Horta / 2000 ETM / 2001 (revisão) ETM / 2011 (revisão) Os dispositivos lógicos

Leia mais

Capítulo13 Arquiteturas de Dispositivos Lógicos Programáveis Pearson. Todos os direitos reservados.

Capítulo13 Arquiteturas de Dispositivos Lógicos Programáveis Pearson. Todos os direitos reservados. Capítulo13 Arquiteturas de Dispositivos Lógicos Programáveis slide 1 Temas abordados nesse capítulo: - Descrever as diferentes categorias de dispositivos de sistemas digitais. - Descrever os diferentes

Leia mais

Calculadora Simples em VHDL

Calculadora Simples em VHDL Calculadora Simples em VHDL Versão 2014 RESUMO Esta experiência consiste no projeto e implementação de um circuito digital simples com o uso de uma linguagem de descrição de hardware. São apresentados

Leia mais

Comunicação Serial Assíncrona

Comunicação Serial Assíncrona Comunicação Serial Assíncrona Versão 2016 RESUMO O objetivo desta experiência é projetar circuitos digitais para comunicação serial de dados (transmissão de dados) com um terminal de dados, utilizando

Leia mais

DSP Builder - Altera. MO801 - Tópicos em Arquitetura e Hardware. Michele Tamberlini 05/2006

DSP Builder - Altera. MO801 - Tópicos em Arquitetura e Hardware. Michele Tamberlini 05/2006 DSP Builder - Altera MO801 - Tópicos em Arquitetura e Hardware Michele Tamberlini 05/2006 DSP Builder Roteiro Introdução Funcionalidades MegaCore MATLAB / SIMULINK SOPC Builder Bibliotecas Introdução Algoritmos

Leia mais

AUTOMAÇÃO DA PRODUÇÃO. Prof. Dr. Roger Nabeyama Michels

AUTOMAÇÃO DA PRODUÇÃO. Prof. Dr. Roger Nabeyama Michels AUTOMAÇÃO DA PRODUÇÃO Prof. Dr. Roger Nabeyama Michels INTRODUÇÃO, CONCEITO E HISTÓRIA DA AUTOMAÇÃO INDUSTRIAL Prof. Dr. Roger Nabeyama Michels Todos o desenvolvimento na área da Automação Industrial tem

Leia mais

EPROM - EEPROM Dispositivos Lógicos Programáveis

EPROM - EEPROM Dispositivos Lógicos Programáveis EPROM - EEPROM Dispositivos Lógicos Programáveis Matuzalém Muller dos Santos Mathias Silva da Rosa 20 de fevereiro de 2015 Resumo Este artigo tem como objetivo apresentar uma breve descrição sobre o desenvolvimento

Leia mais

Universidade Federal de Pernambuco

Universidade Federal de Pernambuco Universidade Federal de Pernambuco Centro de Informática Graduação em Engenharia da Computação 2008.1 Análise de diferentes implementações de um IP Core de interface com dispositivos de E/S Proposta de

Leia mais

Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação

Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação Lógica Programável INE 5348 Aula 1-P Formas de implementação

Leia mais

Nível da Lógica Digital

Nível da Lógica Digital Nível da Lógica Digital (Aula 7) Circuitos Lógicos Digitais Básicos Circuitos Integrados CIs (chips/pastilhas) Agrupa portas lógicas Pastilhas de silício DIP (Dual Inline Package) Invóculo c/ 2 linhas

Leia mais

Programa Analítico de Disciplina INF251 Organização de Computadores I

Programa Analítico de Disciplina INF251 Organização de Computadores I 0 Programa Analítico de Disciplina INF51 Organização de Computadores I Departamento de Informática - Centro de Ciências Exatas e Tecnológicas Número de créditos: Teóricas Práticas Total Duração em semanas:

Leia mais

AVALIAÇÃO DE CONVERSORES BOOST OPERANDO EM PARALELO EMPREGANDO A TÉCNICA INTERLEAVED E CONTROLADOS DIGITALMENTE

AVALIAÇÃO DE CONVERSORES BOOST OPERANDO EM PARALELO EMPREGANDO A TÉCNICA INTERLEAVED E CONTROLADOS DIGITALMENTE AVALIAÇÃO DE CONVERSORES BOOST OPERANDO EM PARALELO EMPREGANDO A TÉCNICA INTERLEAVED E CONTROLADOS DIGITALMENTE ANALISYS OF PARALLEL BOOST CONVERTERS THROUGH INTERLEAVED STRATEGY AND CONTROLLED DIGITALLY

Leia mais

Parte II Arquitetura. professorferlin.blogspot.com. professorferlin.blogspot.com. Sociedade Paranaense de Ensino e Informática

Parte II Arquitetura.   professorferlin.blogspot.com. professorferlin.blogspot.com. Sociedade Paranaense de Ensino e Informática www.spei.br Sociedade Paranaense de Ensino e Informática Parte II Arquitetura 2 1 Estrutura Básica 3 4 2 Arquitetura Básica 5 CLP x Computador A fonte de alimentação possui características ótimas de filtragem

Leia mais

COM2AHDL: FERRAMENTA CAD DESENVOLVIDA PARA O ENSINO DE CIRCUITOS DIGITAIS

COM2AHDL: FERRAMENTA CAD DESENVOLVIDA PARA O ENSINO DE CIRCUITOS DIGITAIS COM2AHDL: FERRAMENTA CAD DESENVOLVIDA PARA O ENSINO DE CIRCUITOS DIGITAIS Alexandre César Rodrigues da Silva 1, Vanderley Balieiro Júnior 2 e Gracieli Sartório Cardoso 3 Resumo A rápida evolução dos sistemas

Leia mais

3 Realização e Caracterização do Módulo Transmissor

3 Realização e Caracterização do Módulo Transmissor 38 3 Realização e Caracterização do Módulo Transmissor Este capítulo tem como objetivo estudar e caracterizar o módulo a ser desenvolvido na transmissão através da introdução de uma ferramenta computacional

Leia mais

1.ª Prática Componentes Físicos de um sistema de Supervisão

1.ª Prática Componentes Físicos de um sistema de Supervisão 1 1.ª Prática Componentes Físicos de um sistema de Supervisão OBJETIVO: 1. Conhecer os componentes físicos do sistema de supervisão da bancada de bombas do laboratório de Eficiência Energética. DATA: /

Leia mais

Parte # 1 - Circuitos Combinatórios

Parte # 1 - Circuitos Combinatórios CEFET Departamento de Engenharia Elétrica - DEPEL GELE 7163 Eletrônica Digital Parte # 1 - Circuitos Combinatórios Prof. Alessandro Jacoud Peixoto 1 GELE 7163 Eletrônica Digital 2 Referências : Notas de

Leia mais

Livro texto: VHDL- Descrição e Síntese de Circuitos Digitais Roberto D Amore Editora LTC

Livro texto: VHDL- Descrição e Síntese de Circuitos Digitais Roberto D Amore Editora LTC Livro texto: VHDL- Descrição e Síntese de Circuitos Digitais Roberto D Amore Editora LTC Linguagem para descrever o funcionamento de um sistema (o que e como o sistema faz). O sistema descrito em HDL

Leia mais

HEXKIT PROTOLAB 1 Manual do usuário Versão 1.0

HEXKIT PROTOLAB 1 Manual do usuário Versão 1.0 KITS DE APLICAÇÃO E APRENDIZADO AVANÇADO HEXKIT PROTOLAB 1 Manual do usuário Versão 1.0 Os HEXKITS são soluções para desenvolvimento, aprendizado e prototipação rápida de projetos/produtos. O HEXKIT PROTOLAB

Leia mais

Estendendo o Conjunto de Instruções de um PPC para Uso de Transformadas do H.264

Estendendo o Conjunto de Instruções de um PPC para Uso de Transformadas do H.264 Estendendo o Conjunto de Instruções de um PPC para Uso de Transformadas do H.264 Marcelo Schiavon Porto Roger E. C. Porto {msporto, recporto}@inf.ufrgs.br Introdução 2 O padrão H.264/AVC é o mais novo

Leia mais

Capitulo 3 O Aparato Experimental

Capitulo 3 O Aparato Experimental Capitulo 3 O Aparato Experimental O presente capítulo tem como objetivo, descrever a RTC construída no Laboratório de Engenharia Química do Centro Universitário da FEI, contemplando a instrumentação, as

Leia mais

SISTEMA DE CONTROLE AUTOMATIZADO DE SIRENE ESCOLAR COM MÚLTIPLAS ENTRADAS E SAÍDAS DE ÁUDIO USANDO ARDUINO

SISTEMA DE CONTROLE AUTOMATIZADO DE SIRENE ESCOLAR COM MÚLTIPLAS ENTRADAS E SAÍDAS DE ÁUDIO USANDO ARDUINO Patrocínio, MG, outubro de 2016 ENCONTRO DE PESQUISA & EXTENSÃO, 3., 2016, Patrocínio. Anais... Patrocínio: IFTM, 2016. SISTEMA DE CONTROLE AUTOMATIZADO DE SIRENE ESCOLAR COM MÚLTIPLAS ENTRADAS E SAÍDAS

Leia mais

Introdução a Sistemas Digitais

Introdução a Sistemas Digitais Introdução a Sistemas Digitais Definição Sistemas Digitais Projeto Revisão: Circuitos Combinacionais Circuitos Sequênciais Máquinas de Estados Sistemas Digitais Definição Um sistema digital é um sistema

Leia mais

SISTEMA DE MEDICAO DE ENERGIA E MONITORIA DA QUALIDADE DA REDE ELÉTRICA

SISTEMA DE MEDICAO DE ENERGIA E MONITORIA DA QUALIDADE DA REDE ELÉTRICA Revista de Engenharia e Pesquisa Aplicada, Volume 2, Número 1, 2016 SISTEMA DE MEDICAO DE ENERGIA E MONITORIA DA QUALIDADE DA REDE ELÉTRICA Santos, H. L. M. Escola Politécnica de Pernambuco Universidade

Leia mais

Registradores de Deslocamentos.

Registradores de Deslocamentos. Registradores de Deslocamentos. 1. Introdução: Implementação de um registrador de deslocamento, conversão paralelo-série e série-paralelo, geração de atrasos, contador e implementação de um registrador

Leia mais

EPUSP PCS 3335/3635 Laboratório Digital. Circuito em VHDL

EPUSP PCS 3335/3635 Laboratório Digital. Circuito em VHDL Circuito em VHDL Versão 2017 RESUMO Esta experiência tem como objetivo um contato inicial com o desenvolvimento do projeto de um circuito digital simples em VHDL e sintetizado para uma placa de desenvolvimento

Leia mais

Desenvolvimento com a placa Altera DE1 Prof. Rodrigo de Paula Rodrigues

Desenvolvimento com a placa Altera DE1 Prof. Rodrigo de Paula Rodrigues UNIFEI Universidade Federal de Itajubá IESTI - Instituto de Engenharia de Sistemas e Tecnologia da Informação ELT029/ELT041/ELT512 Laboratórios de Eletrônica Digital I e Eletrônica Digital II Desenvolvimento

Leia mais

Plano de Aula 26/8/13. VHDL - Visão Geral. Obje%vos: VHDL - Visão Geral. Descrição de circuito digital em VHDL

Plano de Aula 26/8/13. VHDL - Visão Geral. Obje%vos: VHDL - Visão Geral. Descrição de circuito digital em VHDL Instituto Federal de Santa Catarina Área de Telecomunicações SST20707 Síntese de Sistemas de Telecomunicações Prof. Roberto de Matos viso de direitos utorais: Transparências baseadas no trabalho do Prof.

Leia mais

DESMET 3D Biblioteca de modelos 3D profissional para projetos de Estruturas Metálicas Industriais

DESMET 3D Biblioteca de modelos 3D profissional para projetos de Estruturas Metálicas Industriais O é um trabalho especial de implementação de uma poderosa biblioteca 3D programada e parametrizada com as ferramentas avançadas de desenvolvimento 3D do software Autodesk Inventor 3D, com a utilização

Leia mais

INTRODUÇÃO: MICROCONTROLADORES

INTRODUÇÃO: MICROCONTROLADORES INTRODUÇÃO: MICROCONTROLADORES MICROCONTROLADOR X MICROPROCESSADOR Baixa capacidade de processamento Freq. Operação em MHz Custo de R$ 7,00 a 30,00 Aplicações mais restrita Alta capacidade de processamento

Leia mais