SISTEMAS DIGITAIS MEMÓRIAS E CIRCUITOS DE LÓGICA PROGRAMÁVEL

Tamanho: px
Começar a partir da página:

Download "SISTEMAS DIGITAIS MEMÓRIAS E CIRCUITOS DE LÓGICA PROGRAMÁVEL"

Transcrição

1 MEMÓRIAS E CIRCUITOS DE LÓGICA PROGRAMÁVEL Setembro de

2 MEMÓRIAS - SUMÁRIO: MEMÓRIAS INTEGRADAS RAM ROM PROM EPROM LÓGICA PROGRAMÁVEL PLAs PALs FPGAs Setembro de

3 MEMÓRIAS - MEMÓRIAS Na sequência do estudo de FFs, como elementos básicos de memória de bit, e de registos como elementos capazes de armazenar palavra de N bits, surge, naturalmente, a necessidade de elementos que permitam o armazenamento conjuntos de K palavras de N bits. Esses elementos designam-se por memórias. Na presente abordagem, referem-se em especial os aspectos funcionais e as estruturas lógicas destes circuitos, deixando-se os detalhes da electrónica para cadeiras subsequentes. Setembro de

4 MEMÓRIAS - 4 RAM - MODELO SIMPLIFICADO RAM Random Access Memory Endereço A A A RAM 6x4 A 5 Operação de Escrita: () Colocar endereço nas linhas de endereço; () Colocar dados nas linhas de entrada de dados (Ds); () Activar CS_L e WE_L (L - Escrita). A CS_L WE_L D G EN [READ] C [WRITE], D Q A,D,A Operação de Leitura: () Colocar endereço nas linhas de endereço; () Activar CS_L e Desactivar WE_L (H Leitura) ; () Ler dados pretendidos nas linhas de saída (Os). Entrada de Dados D D Q Q Saída Dados de D Q Setembro de

5 MEMÓRIAS - 5 RAM - MODELO LÓGICO DA ESTRUTURA BASE Linha_ C D Linha_ DMUX A G _ A } Linha_ C D ChipSelect EN C D Linha_ C D WriteEnable_H Selecciona Coluna Entrada_Dados Saída_Dados Setembro de

6 MEMÓRIAS - 6 RAM - ESTRUTURA TIPO EXEMPLO: RAM 6x, organizada segundo uma matriz de 4x4 bits. A A DMUX } G _ ChipSelect EN 4 5 Descodificador de Linha Entrada_Dados WriteEnable_H Saída_Dados DMUX A } G _ A ChipSelect EN Descodificador de Coluna Setembro de

7 MEMÓRIAS - 7 RAM - ESTRUTURA TIPO EXEMPLO: Uma RAM 8x é organizada segundo a mesma matriz de 4x4 bits, que é agora, de facto, uma matriz de 4x palavras de bits cada. A A DMUX } G _ ChipSelect EN 4 5 Descodificador de Linha Entrada_Dados Entrada_Dados WriteEnable_H DMUX A G _ Saída_Dados Saída_Dados ChipSelect EN Descodificador de Colunas Setembro de

8 MEMÓRIAS - 8 RAM - ASSOCIAÇÃO Aumento da Dimensão das Palavras (de 4 para 8 bits): RAM 6x4 A A A A CS_L WE_L A 5 G EN [READ] C [WRITE] RAM 6x4 A 5 G EN [READ] C [WRITE] D, D Q A,D,A D4, D Q4 A,D,A D Q D5 Q5 D Q D6 Q6 D Q D7 Q7 Setembro de

9 MEMÓRIAS - 9 RAM - ASSOCIAÇÃO Aumento do Número de Palavras (de 6 para palavras): RAM 6x4 RAM 6x4 A A A A 5 A 5 A A4 G A4 G WE_L EN [READ] EN [READ] C [WRITE] C [WRITE] D, D Q A,D,A D, D Q A,D,A D Q D Q D Q D Q D Q D Q Nota: Por simplicitade omitiram-se as ligações das entradas D e das saídas Q das duas memórias ao BUS de Dados Setembro de

10 MEMÓRIAS - ROM - DEFINIÇÕES ROM Read Only Memory Memória apenas de leitura, sendo o conteúdo definido durante a fabricação. ROMs PROGRAMÁVEIS - Memória apenas de leitura, sendo o conteúdo definido pelo utilizador. PROM - ROM Programável (uma única vez). EPROM - ROM Programável com capacidade de reprogramação. Neste caso, a memória pode ser apagada através da aplicação prolongada a radiação ultravioleta intensa. Nota: existem outras classes de memórias não abordadas neste estudo. Setembro de

11 MEMÓRIAS - ROM - SIMBOLOGIA ROM x8 A A A A A4 4 A RE_L EN, D A Q Q Q Q Q4 Q5 Q6 Q7 Setembro de

12 MEMÓRIAS - ROM ESTRUTURA TIPO EXEMPLO: ROM 4x que armazena os 4 primeiros números primos: A A Q Q Q A A m Plano OR "" m m m 5 Plano AND 7 Q Q Q A programação da ROM é concretizada pela efectivação das ligações entre as saídas das ANDs e as entradas das ORs. Nota: As linhas sem ligação são, por defeito, ligadas a (elemento neutro da OR). Setembro de

13 MEMÓRIAS - LÓGICA PROGRAMÁVEL As FPGAs - FIELD PROGRAMMABLE GATE-ARRAYS - são dispositivos lógicos programáveis que permitem realizar circuitos de complexidade equivalente até algumas centenas de milhar de portas lógicas. O tipo de programação usado nas FPGAs mais populares baseia-se na utilização de memórias RAM distribuídas para realizar as funções lógicas e controlar as interligações do circuito. Bloco lógico Zona de interligações Célula de entrada/saída Setembro de

14 MEMÓRIAS - 4 BLOCO LÓGICO BÁSICO DE UMA FPGA O bloco lógico básico de uma FPGA é constituído tipicamente por uma LUT Look-Up Table e um FF D. A LUT é simplesmente uma pequena RAM (são habitualmente utilizadas LUTs de 4 entradas = RAM de 6 bits) que, para efeitos de utilização do circuito, funciona apenas em modo de leitura (a escrita corresponde à programação da função lógica). A programação da lógica consiste no armazenamento na LUT da tabela de verdade da função lógica a realizar. O controlo (programável) do MUX permite incluir/excluir o FF no/do circuito. X X X X RAM 6X A } 5 R D C S Q MUX G CLK S/R Controlo S/R Bloco Básico Modelo simplificado Setembro de

15 MEMÓRIAS - 5 MÁQUINAS DE ESTADO E MEMÓRIAS A estrutura de uma máquina de estados permite que se utilizem memórias (para implementar o circuito combinatório) conferindo a possibilidade tanto de tornar os sistemas de controlo programáveis, portanto mais versáteis, como, ainda, o desenvolvimento de sistemas funcionalmente mais complexos sem o aumento correspondente da complexidade do hardware. Qual o preço a pagar por esta solução em relação à implementação clássica? Máquina Sequencial (Sincrona) Modelo Geral Entradas Actuais (x) C.C. (Circuito Combinatório) Saídas Actuais (z) Estado Actual (EA) Estado Seguinte (ES) Memória (Flip-Flops) CP Setembro de

16 MEMÓRIAS - 6 MÁQUINAS DE ESTADO E MEMÓRIAS (cont.) EXEMPLO: Máquina de Distribuição de Pastilhas (conforme analisada no capítulo dos Circuitos Sequenciais Síncronos) Reset S/ S5/ S/, S5/ Entradas: m5,m Saída: abre XX Estado Actual Entradas Estado Seguinte Saída Q Q m5 m Q Q ABRE SC SC SC S5C X X X S5C S5C S5C SC X X X SC SC S5C S5C X X X S5C SC SC SC X X X Setembro de

17 MEMÓRIAS - 7 MÁQUINAS DE ESTADO E MEMÓRIAS (cont.) EXEMPLO: Máquina de Distribuição de Pastilhas (cont.) Tendo por base a Tabela de Transição de Estados e a memória RAM apresentada anteriormente utiliza-se esta memória para implementar a função do circuito combinatório, i.e., determinar o Estado Seguinte e a Saída. O Endereço de Memória (A A A A) será especificado, respectivamente, pelas variáveis de estado Q Q e pelas entradas M5 M. A Palavra de Memória deverá conter a informação do Estado Seguinte Q Q em (n+) e a Saída Z nos bits menos significativos. Nota: A cinzento indicam-se as entradas (endereços) não utilizadas e as saídas não consideradas (bit mais significativo). Tabela de Memória Endereço Conteúdo A A A A Q Q Q Q Setembro de

18 MEMÓRIAS - 8 MÁQUINAS DE ESTADO E MEMÓRIAS (cont.) EXEMPLO: Máquina de Distribuição de Pastilhas (Implementação) ENTRADAS M M5 RAM 6x4 A 5 RESET_L CP R C '' '' G EN [READ] C [WRITE] SAÍDA D Q (n) Q (n), D Z A,D,A Q (n+) ESTADO PRESENTE Q (n+) ESTADO SEGUINTE Setembro de

SISTEMAS DIGITAIS MEMÓRIAS E CIRCUITOS DE LÓGICA PROGRAMÁVEL

SISTEMAS DIGITAIS MEMÓRIAS E CIRCUITOS DE LÓGICA PROGRAMÁVEL MEMÓRIAS E CIRCUITOS DE LÓGICA PROGRAMÁVEL Setembro de 4 MEMÓRIAS - SUMÁRIO: MEMÓRIAS INTEGRADAS RAM ROM PROM EPROM LÓGICA PROGRAMÁVEL PLAs PALs FPGAs Setembro de 4 MEMÓRIAS - MEMÓRIAS Na sequência do

Leia mais

SISTEMAS DIGITAIS MEMÓRIAS E CIRCUITOS DE LÓGICA PROGRAMÁVEL

SISTEMAS DIGITAIS MEMÓRIAS E CIRCUITOS DE LÓGICA PROGRAMÁVEL MEMÓRIAS E CIRCUITOS DE LÓGICA PROGRAMÁVEL Sistemas Digitais MEMÓRIAS -! SUMÁRIO:! MEMÓRIAS INTEGRADAS! RAMs! ROMs! LÓGICA PROGRAMÁVEL! PROMs! PLAs! PALs! FPGAs! IMPLEMENTAÇÃO DE MÁQUINAS DE ESTADO UTILIZANDO

Leia mais

ÁLGEBRA BOOLEANA- LÓGICA DIGITAL

ÁLGEBRA BOOLEANA- LÓGICA DIGITAL ÁLGEBRA BOOLEANA- LÓGICA DIGITAL LÓGICA DIGITAL Álgebra Booleana Fundamentação matemática para a lógica digital Portas Lógicas Bloco fundamental de construção de circuitos lógicos digitais Circuitos Combinatórios

Leia mais

Exemplo 2 de Projeto de Circuito Síncrono. Contador de Uns

Exemplo 2 de Projeto de Circuito Síncrono. Contador de Uns P234 ONTAOR E UN Rev.2 Exemplo 2 de Projeto de ircuito íncrono ontador de Uns (Resumo elaborado por Edith Ranzini, a partir do exemplo extraído do livro GAJKI, ANIEL. Principles of igital esign - 997 com

Leia mais

Organização e Arquitetura de Computadores I

Organização e Arquitetura de Computadores I Organização e Arquitetura de Computadores I Evolução e Desempenho dos Computadores Slide 1 Conceitos Arquitetura do Computador Refere-se aos atributos que são visíveis para o programador. Ex: conjunto

Leia mais

Curso Superior de Sistemas de Telecomunicações Unidade São José. Disciplina: Síntese de Sistemas de Telecomunicações 7º Fase

Curso Superior de Sistemas de Telecomunicações Unidade São José. Disciplina: Síntese de Sistemas de Telecomunicações 7º Fase Curso Superior de Sistemas de Telecomunicações Unidade São José Disciplina: Síntese de Sistemas de Telecomunicações 7º Fase Bases tecnológicas Dispositivos Lógicos Programáveis. Introdução à Tecnologia

Leia mais

MEMÓRIAS. Sistemas Digitais II Prof. Marcelo Wendling Nov/18

MEMÓRIAS. Sistemas Digitais II Prof. Marcelo Wendling Nov/18 MEMÓRIAS Sistemas Digitais II Prof. Marcelo Wendling Nov/18 1 Definições São blocos que armazenam informações codificadas digitalmente. A localização de uma unidade de dado num arranjo de memória é denominada

Leia mais

Arquitetura e Organização de Computadores

Arquitetura e Organização de Computadores Arquitetura e Organização de Computadores Interconexão do Computador Givanaldo Rocha de Souza http://docente.ifrn.edu.br/givanaldorocha givanaldo.rocha@ifrn.edu.br Material do prof. Sílvio Fernandes -

Leia mais

- Campus Salto. Disciplina: Sistemas de Arquivos Docente: Fernando Santorsula E-mail: fernandohs@ifsp.edu.br

- Campus Salto. Disciplina: Sistemas de Arquivos Docente: Fernando Santorsula E-mail: fernandohs@ifsp.edu.br Disciplina: Sistemas de Arquivos Docente: Fernando Santorsula E-mail: fernandohs@ifsp.edu.br Sistemas de Arquivos- Parte 2 Pontos importantes de um sistema de arquivos Vários problemas importantes devem

Leia mais

Memórias ROM ( Read-Only Memory )

Memórias ROM ( Read-Only Memory ) Memórias ROM ( Read-Only Memory ) ESTV-ESI-Sistemas Digitais-Memórias ROM /7 As memórias ROM, também designadas por memórias mortas, são constituídas por uma matriz de dispositivos com capacidade para

Leia mais

Memórias RAM e ROM. Adriano J. Holanda 9/5/2017. [Introdução à Organização de Computadores]

Memórias RAM e ROM. Adriano J. Holanda 9/5/2017. [Introdução à Organização de Computadores] Memórias RAM e ROM [Introdução à Organização de Computadores] Adriano J Holanda 9/5/2017 Memória de acesso aleatório RAM Random Access Memory Armazenamento temporário de programas em execução e dados;

Leia mais

Memórias. Memórias: Utilização:

Memórias. Memórias: Utilização: 1 : São dispositivos que armazenam informações codificadas digitalmente que podem representar números, letras, caracteres quaisquer, comandos de operações, endereços ou ainda qualquer outro tipo de dado.

Leia mais

Introdução. Num micro-processador a informação é guardada em registos. Para isso precisamos de muitos registos, isto é memória em massa

Introdução. Num micro-processador a informação é guardada em registos. Para isso precisamos de muitos registos, isto é memória em massa 10 Memória v02 Introdução Num micro-processador a informação é guardada em registos Estes são definidos com grupos de FFs tipo D Além da informação precisamos de guardar também as instruções do próprio

Leia mais

Dispositivo Lógico Programável(PLD)

Dispositivo Lógico Programável(PLD) Dispositivo Lógico Programável(PLD) Para reduzir o número de CI's(Circuitos Integrados) a serem usados num projeto digital, é necessário colocar mais funções em um mesmo chip. Isso tem sido feito com evolução

Leia mais

Data Path / Control Path Controle do MIPS

Data Path / Control Path Controle do MIPS Organização e Arquitetura de Computadores Unidade de Controle Uniciclo A unidade de controle deve, a partir do código da instrução, fornecer os sinais que realizam as instruções na unidade operativa. Sequência

Leia mais

SSC512 Elementos de Lógica Digital. Memórias. GE4 Bio

SSC512 Elementos de Lógica Digital. Memórias. GE4 Bio Universidade de São Paulo Instituto de Ciências Matemáticas e de Computação Departamento de Sistemas de Computação Elementos de Memórias GE4 Bio GE4Bio Grupo de Estudos em Sinais Biológicos Prof.Dr. Danilo

Leia mais

Interface com Displays de 7 Segmentos. Interface com Displays

Interface com Displays de 7 Segmentos. Interface com Displays Interface com Displays de 7 Segmentos Interface com Displays Um Display de 7 segmentos é formado por 7 LED s (a,b,c,d,e,f,g) que são previamente encapsulados e conectados de duas maneiras: f e a g b c

Leia mais

Parte 05 - Técnicas de programação (mapas de Veitch-Karnaugh)

Parte 05 - Técnicas de programação (mapas de Veitch-Karnaugh) Parte 05 - Técnicas de programação (mapas de Veitch-Karnaugh) Mapas de Veitch-Karnaugh Montar circuitos lógicos a partir de tabela verdade, embora seja tarefa fácil, geral um circuito extremamente grande.

Leia mais

CRONÔMETRO DIGITAL PROJETO

CRONÔMETRO DIGITAL PROJETO CRONÔMETRO DIGITAL PROJETO OBJETIVOS: a) Verificação do funcionamento dos contadores; b) Aplicabilidade de circuitos contadores; c) Verificação do funcionamento de um cronômetro digital. INTRODUÇÃO TEÓRICA

Leia mais

TECNOLOGIA EM MECATRÔNICA INDUSTRIAL CONTROLADORES LÓGICOS PROGRAMÁVEIS

TECNOLOGIA EM MECATRÔNICA INDUSTRIAL CONTROLADORES LÓGICOS PROGRAMÁVEIS TECNOLOGIA EM MECATRÔNICA INDUSTRIAL CONTROLADORES LÓGICOS PROGRAMÁVEIS TECNOLOGIA EM MECATRÔNICA INDUSTRIAL CONTROLADORES LÓGICOS PROGRAMÁVEIS Autor: Prof. Heliliano Carlos Sartori Guedes prof.helilianoguedes@gmail.com

Leia mais

Profa. Luiza Maria Romeiro Codá Profa. Dra Maria Stela Veludo de Paiva

Profa. Luiza Maria Romeiro Codá Profa. Dra Maria Stela Veludo de Paiva Profa. Luiza Maria Romeiro Codá Profa. Dra Maria Stela Veludo de Paiva são circuitos integrados programáveis pelo usuário, Não apresentam uma função lógica definida, até que sejam configurados. pssuem

Leia mais

Algoritmos e Programação : Conceitos e estruturas básicas. Hudson Victoria Diniz

Algoritmos e Programação : Conceitos e estruturas básicas. Hudson Victoria Diniz Algoritmos e Programação : Conceitos e estruturas básicas Hudson Victoria Diniz Relembrando... Um algoritmo é formalmente uma seqüência finita de passos que levam a execução de uma tarefa. Podemos pensar

Leia mais

Circuitos de Memória: Tipos e Funcionamento. Fabrício Noveletto

Circuitos de Memória: Tipos e Funcionamento. Fabrício Noveletto Circuitos de Memória: Tipos e Funcionamento Fabrício Noveletto Memória de semicondutores São dispositivos capazes de armazenar informações digitais. A menor unidade de informação que pode ser armazenada

Leia mais

Eletrônica Digital. Prof. Gilson Yukio Sato sato[at]utfpr[dot]edu[dot]br

Eletrônica Digital. Prof. Gilson Yukio Sato sato[at]utfpr[dot]edu[dot]br Eletrônica Digital Prof. Gilson Yukio Sato sato[at]utfpr[dot]edu[dot]br Memórias Semicondutoras Prof. Gilson Yukio Sato sato[at]utfpr[dot]edu[dot]br Memórias Digitais Magnética Fitas K7, discos 3½, etc

Leia mais

Oganização e Arquitetura de Computadores

Oganização e Arquitetura de Computadores Oganização e Arquitetura de Computadores Capítulo 14 e 15 Unidade de Controle Parte I Operação da Unidade de Controle 1 Micro-Operações Um computador executa um programa Ciclo: Busca/Executa Cada ciclo

Leia mais

Computador (arquitetura básica): b

Computador (arquitetura básica): b Hardware É a parte física f de um sistema de computação, ou seja, todos os elementos materiais que o constituí (circuitos eletrônicos, dispositivos mecânicos, elétricos e magnéticos). Componentes Básicos

Leia mais

Usadas para manter os dados e programas a serem acessados diretamente pelo microprocessador.

Usadas para manter os dados e programas a serem acessados diretamente pelo microprocessador. 1 Usadas para manter os dados e programas a serem acessados diretamente pelo microprocessador. Os bits são armazenados em células de memória implementadas em pastilha semicondutora. A identificação das

Leia mais

O Sistema de Computação

O Sistema de Computação Departamento de Ciência da Computação - UFF O Sistema de Computação Profa. Débora Christina Muchaluat Saade debora@midiacom.uff.br O Sistema de Computação Capítulo 2 Livro do Mário Monteiro Componentes

Leia mais

O que é a memória? Analogia: Biblioteca. Para que serve a biblioteca / bibliotecária?

O que é a memória? Analogia: Biblioteca. Para que serve a biblioteca / bibliotecária? O QUE É A MEMÓRIA? O que é a memória? Analogia: Biblioteca Para que serve a biblioteca / bibliotecária? O que é a memória? Simples: armazena/recupera dados numéricos Para uso posterior! Na prática... Dispositivo

Leia mais

Aula Expositiva 03. DCC 001 Programação de Computadores 2 o Semestre de 2011 Prof. Osvaldo Carvalho DCC

Aula Expositiva 03. DCC 001 Programação de Computadores 2 o Semestre de 2011 Prof. Osvaldo Carvalho DCC Aula Expositiva 03 2.2.5 Síntese de Circuitos Combinatórios (7 segmentos) 2.2.6 Multiplexadores e Demultiplexadores 2.3 Circuitos Sequenciais 2.3.1 Flip-flops e registradores 2.3.2 Barramentos e Controle

Leia mais

SISTEMAS DIGITAIS ELEMENTOS DE TECNOLOGIA

SISTEMAS DIGITAIS ELEMENTOS DE TECNOLOGIA ELEMENTOS DE TECNOLOGIA ELEMENTOS DE TECNOLOGIA - 2 SUMÁRIO: CIRCUITOS INTEGRADOS TECNOLOGIAS COMPONENTES TTL NÍVEIS LÓGICOS FAN-OUT E FAN-IN LÓGICA POSITIVA ELEMENTOS DE TECNOLOGIA - 3 CIRCUITOS INTEGRADOS

Leia mais

Simplificação de Expressões Booleanas e Circuitos Lógicos

Simplificação de Expressões Booleanas e Circuitos Lógicos Simplificação de Expressões Booleanas e Circuitos Lógicos Margrit Reni Krug Julho/22 Tópicos Revisão Álgebra Booleana Revisão portas lógicas Circuitos lógicos soma de produtos produto de somas Simplificação

Leia mais

Caminho de Dados e Controle. Prof. Leonardo Barreto Campos 1

Caminho de Dados e Controle. Prof. Leonardo Barreto Campos 1 Caminho de Dados e Controle Prof. Leonardo Barreto Campos 1 Sumário Introdução; Convenções Lógicas de Projeto; Construindo um Caminho de Dados; O Controle da ULA; Projeto da Unidade de Controle Principal;

Leia mais

CIRCUITOS DIGITAIS. Contadores com Registradores e Memórias. Prof. Denis Fantinato Prof. Rodrigo Moreira Bacurau

CIRCUITOS DIGITAIS. Contadores com Registradores e Memórias. Prof. Denis Fantinato Prof. Rodrigo Moreira Bacurau CIRCUITOS DIGITAIS Contadores com Registradores e Memórias Prof. Denis Fantinato Prof. Rodrigo Moreira Bacurau Slides baseados nas aulas do Prof. Rodrigo Moreira Bacurau O que será visto nesta aula Contadores

Leia mais

Prof. Adilson Gonzaga. Interface com Displays

Prof. Adilson Gonzaga. Interface com Displays Prof. Adilson Gonzaga Interface com Displays Interface com Displays de 7 Segmentos 2 Um Display de 7 segmentos é formado por 7 LED s (a,b,c,d,e,f,g) que são previamente encapsulados e conectados de duas

Leia mais

Circuitos Lógicos. Profa. Grace S. Deaecto. Faculdade de Engenharia Mecânica / UNICAMP , Campinas, SP, Brasil.

Circuitos Lógicos. Profa. Grace S. Deaecto. Faculdade de Engenharia Mecânica / UNICAMP , Campinas, SP, Brasil. Circuitos Lógicos Profa. Grace S. Deaecto Faculdade de Engenharia Mecânica / UNICAMP 13083-860, Campinas, SP, Brasil. grace@fem.unicamp.br Segundo Semestre de 2013 Profa. Grace S. Deaecto ES572 DMC / FEM

Leia mais

Introdução aos Sistemas de Microprocessadores

Introdução aos Sistemas de Microprocessadores Introdução aos Sistemas de Microprocessadores 1 GENERALIDADES Um sistema utilizando microprocessadores é um sistema constituído por Microprocessador, memória, dispositivos de entradas e saídas e por barramentos

Leia mais

7. Funções de Lógica Combinacional. 7. Funções de Lógica Combinacional 1. Somadores Básicos. Objetivos. Objetivos. Circuitos Digitais 03/11/2014

7. Funções de Lógica Combinacional. 7. Funções de Lógica Combinacional 1. Somadores Básicos. Objetivos. Objetivos. Circuitos Digitais 03/11/2014 Objetivos 7. Funções de Lógica Combinacional Fazer distinção entre meio-somadores e somadores-completos Usar somadores-completos para implementar somadores binários em paralelo Explicar as diferenças entre

Leia mais

E II Circuitos Digitais

E II Circuitos Digitais Introdução Circuitos Digitais MOS: Pequena área Fabrico simples Baixo consumo Elevada densidade de integração Sinais digitais: só dois estados lógicos, 0 e 1, com zona de separação Escala de Integração:

Leia mais

Histórico e Evolução da Computação

Histórico e Evolução da Computação Lista de Exercícios Introdução à Informática Professor: Sérgio Salazar Histórico e Evolução da Computação O 1º computador foi o ENIAC, utilizado para montar tabelas para o cálculo de projéteis na 2ª Guerra

Leia mais

PCS-2529 Introdução aos Processadores. Prof. Dr. Paulo Sérgio Cugnasca

PCS-2529 Introdução aos Processadores. Prof. Dr. Paulo Sérgio Cugnasca PCS-2529 Introdução aos Processadores Prof. Dr. Paulo Sérgio Cugnasca 1 4. MEMÓRIA 2 4. MEMÓRIA A memória é um componente essencial de todo computador, sendo utilizada para armazenar as instruções a serem

Leia mais

ELD - Eletrônica Digital Aula 11 Introdução à Lógica Programável. Prof. Antonio Heronaldo de Sousa

ELD - Eletrônica Digital Aula 11 Introdução à Lógica Programável. Prof. Antonio Heronaldo de Sousa ELD - Eletrônica Digital Aula 11 Introdução à Lógica Programável Prof. Antonio Heronaldo de Sousa Agenda - Introdução - Lógica Programável - Dispositivos de Lógica Programável - Arranjos Lógicos Programáveis

Leia mais

Electrónica Geral. Autor: José Gerald. MEAer: 4º ano, 1º semestre MEFT: 3º ano, 1º semestre 2015/2016

Electrónica Geral. Autor: José Gerald. MEAer: 4º ano, 1º semestre MEFT: 3º ano, 1º semestre 2015/2016 Electrónica Geral Autor: José Gerald MEAer: 4º ano, 1º semestre MEFT: 3º ano, 1º semestre 2015/2016 Circuitos Digitais Capítulo Versão 1.008 1 1. Introdução 1.1. Introdução Circuitos Digitais MOS: Pequena

Leia mais

Introdução. Hardware (Parte III) Universidade Federal de Campina Grande Departamento de Sistemas e Computação. joseana@computacao.ufcg.edu.

Introdução. Hardware (Parte III) Universidade Federal de Campina Grande Departamento de Sistemas e Computação. joseana@computacao.ufcg.edu. Universidade Federal de Campina Grande Departamento de Sistemas e Computação Introdução à Computação Hardware (Parte III) Prof. a Joseana Macêdo Fechine Régis de Araújo joseana@computacao.ufcg.edu.br Carga

Leia mais

Sistemas Digitais (SD) Lógica Programável

Sistemas Digitais (SD) Lógica Programável Sistemas Digitais (SD) Lógica Programável Aula Anterior n Na aula anterior: u Circuitos de controlo, transferência e processamento de dados u Exemplo de uma arquitectura simples de um processador 2 Planeamento

Leia mais

INTRODUÇÃO À PROGRAMAÇÃO II VARIÁVEIS COMPOSTAS HOMOGÊNEAS UNIDIMENSIONAIS

INTRODUÇÃO À PROGRAMAÇÃO II VARIÁVEIS COMPOSTAS HOMOGÊNEAS UNIDIMENSIONAIS INTRODUÇÃO À PROGRAMAÇÃO II VARIÁVEIS COMPOSTAS HOMOGÊNEAS UNIDIMENSIONAIS Material da Prof. Ana Eliza Dados e comandos, para serem processados, devem estar na memória do computador. Memória Definição:

Leia mais

INTERFACE PARALELA. Área: Eletrônica Nível de conhecimento necessário: básico. Autor:

INTERFACE PARALELA. Área: Eletrônica Nível de conhecimento necessário: básico. Autor: INTERFACE PARALELA Área: Eletrônica Nível de conhecimento necessário: básico Tópicos abordados: O que é a interface paralela? Quantas entradas e saídas ela possui? Construindo a interface Manipulando no

Leia mais

ELT601 Eletrônica Digital II

ELT601 Eletrônica Digital II Graduação em Engenharia Eletrônica Universidade Federal de Itajubá IESTI Dispositivos Lógicos Programáveis (DLPs) Prof. Rodrigo de Paula Rodrigues DLP Conteto Grau de integração EIB - SSI EIM - MSI EIA

Leia mais

Síntese de máquinas de estados. Equação característica de flip-flops

Síntese de máquinas de estados. Equação característica de flip-flops V. 9523 V. 9523 Equação característica de flip-flops * representa o próximo valor para * é função de e das entradas de controlo: flip-flop tipo D: * = D copia para o que está em D flip-flop tipo T: * =

Leia mais

Placas Gráficas. Placas Gráficas. Placas Gráficas. Placas Gráficas. O que é? Para que serve? Resolução (cont.) Resolução

Placas Gráficas. Placas Gráficas. Placas Gráficas. Placas Gráficas. O que é? Para que serve? Resolução (cont.) Resolução O que é? Para que serve? -A função das placas gráficas é a de construir as imagens que são apresentadas nos monitores dos computadores. -O conteúdo dessa memória está sempre a ser actualizado pela placa

Leia mais

Proporcionar a modelagem de sistemas utilizando todos os conceitos da orientação a objeto;

Proporcionar a modelagem de sistemas utilizando todos os conceitos da orientação a objeto; Módulo 7 UML Na disciplina de Estrutura de Sistemas de Informação, fizemos uma rápida passagem sobre a UML onde falamos da sua importância na modelagem dos sistemas de informação. Neste capítulo, nos aprofundaremos

Leia mais

Arquitetura de Computadores - Módulos de E/S. por Helcio Wagner da Silva

Arquitetura de Computadores - Módulos de E/S. por Helcio Wagner da Silva Arquitetura de Computadores - Módulos de E/S por Helcio Wagner da Silva Modelo Geral de um Módulo de E/S Barramento de Endereço Barramento de Dados Barramento de Controle Módulo de E/S Conexões com Dispositivos

Leia mais

Figura 8: modelo de Von Neumann

Figura 8: modelo de Von Neumann 3. ORGANIZAÇÃO DE SISTEMA DE COMPUTADORES Olá, caro aluno! Neste capítulo vamos ver como são organizados os componentes que formam um sistema computacional. O conceito é histórico, mas é aplicado até os

Leia mais

Microcontroladores e Microprocessadores. Conversão de Bases Prof. Samuel Cavalcante

Microcontroladores e Microprocessadores. Conversão de Bases Prof. Samuel Cavalcante Microcontroladores e Microprocessadores Conversão de Bases Prof. Samuel Cavalcante Conteúdo Conversão de Qualquer base para Decimal Decimal para Binário Hexadecimal para binário Componentes básicos de

Leia mais

Sistemas de Computação

Sistemas de Computação Sistemas de Computação Sexta Aula Haroldo Gambini Santos Universidade Federal de Ouro Preto - UFOP 15 de abril de 2010 Haroldo Gambini Santos Sistemas de Computação 1/17 Seção 1 A Memória Principal 2 Outros

Leia mais

ELETRÔNICA DIGITAL. Parte 6 Display, Decodificadores e Codificadores. Prof.: Michael. 1 Prof. Michael

ELETRÔNICA DIGITAL. Parte 6 Display, Decodificadores e Codificadores. Prof.: Michael. 1 Prof. Michael ELETRÔNICA DIGITAL Parte 6 Display, Decodificadores e Codificadores Prof.: Michael LED Diodo emissor de luz (LED) Para nós será utilizado para dar uma indicação luminosa do nível lógico de sinal; Ligado

Leia mais

Sistemas de Computação. Seção Notas. A Memória Principal. Notas. Sexta Aula. Haroldo Gambini Santos. 26 de abril de Notas

Sistemas de Computação. Seção Notas. A Memória Principal. Notas. Sexta Aula. Haroldo Gambini Santos. 26 de abril de Notas Sistemas de Computação Sexta Aula Haroldo Gambini Santos Universidade Federal de Ouro Preto - UFOP 26 de abril de 2010 Haroldo Gambini Santos Sistemas de Computação 1/17 Seção 1 A Memória Principal 2 Outros

Leia mais

UNIPAC Araguari FACAE - Faculdade de Ciências Administrativas e Exatas SISTEMAS DE INFORMAÇÃO

UNIPAC Araguari FACAE - Faculdade de Ciências Administrativas e Exatas SISTEMAS DE INFORMAÇÃO UNIPAC Araguari FACAE - Faculdade de Ciências Administrativas e Exatas SISTEMAS DE INFORMAÇÃO SAD Sistemas de Apoio à Decisão 2011/02 Aula Cinco crishamawaki@yahoo.com.br Modelos de decisão Sistemas de

Leia mais

Dispositivos Lógicos Programáveis

Dispositivos Lógicos Programáveis Dispositivos Lógicos Programáveis Circuitos Lógicos DCC-IM/UFRJ Prof. 2009 1 Família de Sistemas Digitais 2 Comparação: Velocidade e Consumo VLSI Personalizado ASIC Célula-Padrão ASIC Estruturado CPLD

Leia mais

ORGANIZAÇÃO DE COMPUTADORES MEMÓRIA. Prof. Dr. Daniel Caetano

ORGANIZAÇÃO DE COMPUTADORES MEMÓRIA. Prof. Dr. Daniel Caetano ORGANIZAÇÃO DE COMPUTADORES MEMÓRIA Prof. Dr. Daniel Caetano 2014-1 Objetivos Compreender o que é a memória e sua hierarquia Compreender os diferentes tipos de memória Entender como é feito o acesso à

Leia mais

Algoritmos e Estruturas de Dados I. Variáveis Indexadas. Pedro O.S. Vaz de Melo

Algoritmos e Estruturas de Dados I. Variáveis Indexadas. Pedro O.S. Vaz de Melo Algoritmos e Estruturas de Dados I Variáveis Indexadas Pedro O.S. Vaz de Melo Por que índices são importantes? Como uma loja de sapatos artesanais deve guardar os seus produtos? 1 2 3 4 Tamanhos entre

Leia mais

Capítulo VI Circuitos Aritméticos

Capítulo VI Circuitos Aritméticos Capítulo VI Circuitos Aritméticos Introdução No capítulo anterior estudamos a soma e subtração de números binários. Neste capítulo estudaremos como as operações aritméticas de soma e subtração entre números

Leia mais

Componentes do Computador

Componentes do Computador Computador Um computador é uma máquina composta de um conjunto de partes eletrônicas e eletromecânicas, com capacidade de coletar, armazenar e manipular dados, além de fornecer informações, tudo isso de

Leia mais

FUNDAMENTOS DE ARQUITETURAS DE COMPUTADORES MEMÓRIA PRINCIPAL CAPÍTULO 4. Cristina Boeres

FUNDAMENTOS DE ARQUITETURAS DE COMPUTADORES MEMÓRIA PRINCIPAL CAPÍTULO 4. Cristina Boeres FUNDAMENTOS DE ARQUITETURAS DE COMPUTADORES MEMÓRIA PRINCIPAL CAPÍTULO 4 Cristina Boeres Memória! É um dos componentes de um sistema de computação! Sua função é armazenar informações que são ou serão manipuladas

Leia mais

Adriano J. Holanda FAFRAM. 4 e 11 de maio de 2012

Adriano J. Holanda FAFRAM. 4 e 11 de maio de 2012 Memória Adriano J. Holanda FAFRAM 4 e 11 de maio de 2012 Trilha Introdução Hierarquia da memória Memórias RAM Memória ROM Introdução Arquitetura de Von Neumann Memória Aritmética Controle E/S Unidade central

Leia mais

Informática Aplicada

Informática Aplicada Informática Aplicada Aula 1 Introdução Diogo Pinheiro Fernandes Pedrosa Departamento de Ciências Exatas e Naturais Universidade Federal Rural do Semi-Árido Introdução Informática informação aplicada; Pressupõe

Leia mais

Sistemas Digitais (SD) Lógica Programável

Sistemas Digitais (SD) Lógica Programável Sistemas Digitais (SD) Lógica Programável Aula Anterior Na aula anterior: Circuitos de controlo, transferência e processamento de dados Exemplo de uma arquitectura simples de um processador Prof. Nuno

Leia mais

Aula 11. Dispositivos Lógicos Programáveis. SEL Sistemas Digitais. Prof. Dr. Marcelo Andrade da Costa Vieira

Aula 11. Dispositivos Lógicos Programáveis. SEL Sistemas Digitais. Prof. Dr. Marcelo Andrade da Costa Vieira Aula 11 Dispositivos Lógicos Programáveis SEL 0414 - Sistemas Digitais Prof. Dr. Marcelo Andrade da Costa Vieira 1. Características Gerais l PLD Programmable Logical Device; l Agrupa um grande número de

Leia mais

Fundamentos de Arquitetura e Organização de Computadores

Fundamentos de Arquitetura e Organização de Computadores Fundamentos de Arquitetura e Organização de Computadores Dois conceitos fundamentais no estudo dos sistemas de computação são o de Arquitetura e Organização de computadores. O termo arquitetura refere-se

Leia mais

Componentes básicos de um computador

Componentes básicos de um computador Organização e Arquitetura de Computadores Prof.: Adriano Maranhão Componentes básicos de um computador Memória Processador Periféricos Barramento O processador (ou microprocessador) é responsável pelo

Leia mais

SISTEMAS DIGITAIS (SD)

SISTEMAS DIGITAIS (SD) SISTEMAS DIGITAIS (SD) MEEC Acetatos das Aulas Teóricas Versão 4.0 - Português Aula N o 25: Título: Sumário: Lógica Programável Lógica programável (ROM, PLA, PAL e FPGA); Linguagens de descrição de hardware

Leia mais

Esquemas de ligação à Terra em baixa tensão

Esquemas de ligação à Terra em baixa tensão Esquemas de ligação à Terra em baixa tensão A escolha correcta dos elementos de protecção de uma instalação eléctrica, minimiza ou elimina por completo o risco de incêndio, explosão ou choques eléctricos

Leia mais

Princípios Básicos de CLP

Princípios Básicos de CLP Princípios Básicos de CLP Conceitos de CLP C : Controlador L : Lógico P : Programável Equipamento dedicado que surgiu como opção para a substituição e simplificação de ligações físicas (fios e relés) nos

Leia mais

Introdução a Funções

Introdução a Funções Introdução a Funções Funções Matemáticas função é uma relação de um ou vários valores de argumentos de entrada em um ÚNICO resultado de saída. y z Fig I f(x) = x 2 x = 2 f(x) = 4 x z = f(x,y) = x 2 +y

Leia mais

Ciclo com Contador : instrução for. for de variável := expressão to. expressão do instrução

Ciclo com Contador : instrução for. for de variável := expressão to. expressão do instrução Métodos de Programação I 2. 27 Ciclo com Contador : instrução for identificador downto for de variável := expressão to expressão do instrução UMA INSTRUÇÃO (SIMPLES OU COMPOSTA) Neste caso o ciclo é repetido

Leia mais

Capítulo13 Arquiteturas de Dispositivos Lógicos Programáveis Pearson. Todos os direitos reservados.

Capítulo13 Arquiteturas de Dispositivos Lógicos Programáveis Pearson. Todos os direitos reservados. Capítulo13 Arquiteturas de Dispositivos Lógicos Programáveis slide 1 Temas abordados nesse capítulo: - Descrever as diferentes categorias de dispositivos de sistemas digitais. - Descrever os diferentes

Leia mais

ELETRÔNICA DIGITAL 1

ELETRÔNICA DIGITAL 1 CENTRO FEDERAL DE EDUCAÇÃO TECNOLÓGICA DE SANTA CATARINA UNIDADE SÃO JOSÉ CURSO DE TELECOMUNICAÇÕES ELETRÔNICA DIGITAL 1 CAPÍTULO 2 SUMÁRIO 2. Funções Lógicas 2 2.1 Introdução 2 2.2 Funções Lógicas Básicas

Leia mais

Construção de uma via de dados, abordagem monociclo, multiciclo e pipeline

Construção de uma via de dados, abordagem monociclo, multiciclo e pipeline INSTITUTO FEDERAL DO RIO GRANDE DO NORTE DIRETORIA ACADÊMICA DE GESTÃO E TECNOLOGIA DA INFORMAÇÃO CURSO SUPERIOR DE TECNOLOGIA EM REDES DE COMPUTADORES DISCIPLINA: ORGANIZAÇÃO DE COMPUTADORES Construção

Leia mais

Dispositivos Lógicos Programáveis (PLDs) Leandro Schwarz

Dispositivos Lógicos Programáveis (PLDs) Leandro Schwarz Dispositivos Lógicos Programáveis (PLDs) Leandro Schwarz Conceito PLD - Programmable Logic Device; Circuito integrado com grande número de portas lógicas configuráveis, flip-flops e registradores; Permite

Leia mais

BLOCOS DE FUNÇÃO. Figura 1 - Tela de programação com ambiente selecionado para Bloco de Funções

BLOCOS DE FUNÇÃO. Figura 1 - Tela de programação com ambiente selecionado para Bloco de Funções BLOCOS DE FUNÇÃO Desde que as primeiras linguagens de programação foram desenvolvidas, houve um considerável avanço em termos de facilitação para que o usuário pudesse escrever mais rapidamente o programa

Leia mais

Um sistema microprocessado é composto por: Uma unidade central de processamento (CPU) Um conjunto de periféricos necessários o seu funcionamento

Um sistema microprocessado é composto por: Uma unidade central de processamento (CPU) Um conjunto de periféricos necessários o seu funcionamento www.iesa.com.br 1 Objetivo Conhecer as arquiteturas dos microcontroladores; Compreender e aplicar a programação em linguagem C nos microcontroladores; Conhecer e saber trabalhar com as entradas e saídas

Leia mais

Guia de Instalação do "AirPrint"

Guia de Instalação do AirPrint Guia de Instalação do "AirPrint" Versão 0 POR Definições de notas Ao longo deste Manual do Utilizador, é utilizado o seguinte ícone: Nota As Notas indicam o que fazer perante uma determinada situação ou

Leia mais

ARQUITETURA E ORGANIZAÇÃO DE COMPUTADORES MEMÓRIA. Prof. Dr. Daniel Caetano

ARQUITETURA E ORGANIZAÇÃO DE COMPUTADORES MEMÓRIA. Prof. Dr. Daniel Caetano ARQUITETURA E ORGANIZAÇÃO DE COMPUTADORES MEMÓRIA Prof. Dr. Daniel Caetano 22-2 Objetivos Compreender o que é a memória e sua hierarquia Compreender os diferentes tipos de memória Entender como é feito

Leia mais

Conceitos básicos de programação

Conceitos básicos de programação Tipos de dados estruturados Tipos estruturados vectores matrizes Estruturas (registos) Vectores e matrizes são estruturas homogéneas. Uma estrutura homogénea é uma sequência linear de elementos de mesmo

Leia mais

ROM

ROM Capítulo 3 Sumário 3.1 Memórias... 38 3.2 Estrutura geral e organização de uma memória... 38 3.3 Tipos Básicos - ROM (Read Only Memory)... 39 3.3.1 Ampliação da capacidade da ROM... 41 3.3.2 ROMs Programáveis...

Leia mais

David W. Pessen (1989), Industrial Automation - Circuits, design and components, Editora John Wiley and Sons

David W. Pessen (1989), Industrial Automation - Circuits, design and components, Editora John Wiley and Sons AUTOMAÇÃO (M323) CAPÍTULO VII Diagrama funcional GRAFCET 2013/2014 Bibliografia do capítulo Daniel Bouteille & outros; Les Automatismes Programmables, Editions Cepadues, 1987 O GRAFCET Diagrama funcional

Leia mais

Entrada e Saída Transmissão Serial e Paralela

Entrada e Saída Transmissão Serial e Paralela Infra-Estrutura de Hardware Entrada e Saída Transmissão Serial e Paralela Prof. Edilberto Silva www.edilms.eti.br edilms@yahoo.com Sumário Introdução Transmissões Serial (síncrona e assíncrona) e Paralela

Leia mais

A utilização de elementos de memória como as ROM s permitem realizar a implementção de circuitos combinatórios: ROM Dados = OUT S

A utilização de elementos de memória como as ROM s permitem realizar a implementção de circuitos combinatórios: ROM Dados = OUT S Sequenciadores A utilização de elementos de memória como as ROM s permitem realizar a implementção de circuitos combinatórios: Endereços = IN S ROM Dados = OUT S Se num circuito sequêncial as saídas são

Leia mais

ORGANIZAÇÃO E ARQUITETURA DE COMPUTADORES I AULA 10: MEMÓRIA E HIERARQUIA DE MEMÓRIAS

ORGANIZAÇÃO E ARQUITETURA DE COMPUTADORES I AULA 10: MEMÓRIA E HIERARQUIA DE MEMÓRIAS ORGANIZAÇÃO E ARQUITETURA DE COMPUTADORES I AULA 10: MEMÓRIA E HIERARQUIA DE MEMÓRIAS Prof. Max Santana Rolemberg Farias max.santana@univasf.edu.br Colegiado de Engenharia de Computação MEMÓRIA SÃO TODOS

Leia mais

Hardware Reconfigurável

Hardware Reconfigurável Universidade Federal do Rio Grande do Norte Departamento de Engenharia de Computação e Automação Hardware Reconfigurável DCA0119 Sistemas Digitais Heitor Medeiros Florencio Tópicos Alternativas de projeto

Leia mais

Sistemas Operacionais Prof. Esp. André Luís Belini Bacharel em Sistemas de Informações MBA em Gestão Estratégica de Negócios Aula 03

Sistemas Operacionais Prof. Esp. André Luís Belini Bacharel em Sistemas de Informações MBA em Gestão Estratégica de Negócios Aula 03 Sistemas Operacionais Prof. Esp. André Luís Belini Bacharel em Sistemas de Informações MBA em Gestão Estratégica de Negócios Aula 03 Conceitos básicos de Sistemas Operacionais Hardware Software Concorrência

Leia mais

http://www.ic.uff.br/~debora/fac! 1 Capítulo 4 Livro do Mário Monteiro Introdução Hierarquia de memória Memória Principal Organização Operações de leitura e escrita Capacidade 2 Componente de um sistema

Leia mais

FACULDADE LEÃO SAMPAIO

FACULDADE LEÃO SAMPAIO FACULDADE LEÃO SAMPAIO Microcontroladores Curso de Análise e Desenvolvimento de Sistemas 1 Componentes CPU Memórias Dispositivos de Entrada/Saída (E/S) Input/Output (I/O) 2 CPU A CPU busca informações

Leia mais

Eletrônica Digital II. Exemplo de um CI com encapsulamento DIP. Diagrama do CI 74XX76.

Eletrônica Digital II. Exemplo de um CI com encapsulamento DIP. Diagrama do CI 74XX76. Eletrônica Digital II Exemplo de um CI com encapsulamento DIP. Diagrama do CI 74XX76. Esquema interno do protoboard e colocação do CI com ligações. Aula Prática Ensaio Um Flip-Flop JK a) Objetivo: Testar

Leia mais

EPROM - EEPROM Dispositivos Lógicos Programáveis

EPROM - EEPROM Dispositivos Lógicos Programáveis EPROM - EEPROM Dispositivos Lógicos Programáveis Matuzalém Muller dos Santos Mathias Silva da Rosa 20 de fevereiro de 2015 Resumo Este artigo tem como objetivo apresentar uma breve descrição sobre o desenvolvimento

Leia mais

Circuitos Seqüenciais

Circuitos Seqüenciais ORGANIZAÇÃO E ARQUITETURA DE COMPUTADORES I Circuitos Seqüenciais Contadores prof. Dr. César Augusto M. Marcon prof. Dr. Edson Ifarraguirre Moreno 2 / 8 Introdução Contadores são circuitos de natureza

Leia mais

Programa de ampliação e optimização do ecrã para alívio do cansaço visual. Guia de Referência

Programa de ampliação e optimização do ecrã para alívio do cansaço visual. Guia de Referência Programa de ampliação e optimização do ecrã para alívio do cansaço visual. Guia de Referência Bem-Vindo ao ZoomText Express O ZoomText Express é um programa simples de ampliação para o seu computador.

Leia mais

1 MEMÓRIAS 07/08. como armazenar mais do que um bit? Até ao momento... MEMÓRIA =FLIP- FLOP

1 MEMÓRIAS 07/08. como armazenar mais do que um bit? Até ao momento... MEMÓRIA =FLIP- FLOP Memórias.PLD.PLA.FPGA. Até ao momento... MEMÓRIA =FLIP- FLOP 1 MEMÓRIAS como armazenar mais do que um bit? Coelho, J.P. @ Sistemas Digitais : Y20 Memórias.PLD.PLA.FPGA. 2 como armazenar mais do que uma

Leia mais

Índice. 1. Descrição Geral do Módulo. Módulo Processador CPU209-R1 V1.00-22/07/05

Índice. 1. Descrição Geral do Módulo. Módulo Processador CPU209-R1 V1.00-22/07/05 Módulo Processador CPU209-R V.00-22/07/05 Índice. Descrição Geral do Módulo... 2.EspecificaçõesTécnicas...2 3. Configuração do Módulo...2 3. Configuração de Memória...2 3.2 Strap de Inicialização do Módulo...3

Leia mais

Nº horas ESTRATÉGIAS RECURSOS AVALIAÇÃO

Nº horas ESTRATÉGIAS RECURSOS AVALIAÇÃO ANO: 10.º Curso Profissional Técnico de Informática de Gestão Disciplina: Linguagens de Programação ANO LECTIVO: 2008/2009 p.1/13 Módulo 1 Algoritmia 1 - Introdução à Lógica de Programação Lógica Sequência

Leia mais