Experiência 01 Oscilador e Sequenciador Binário - Decimal

Tamanho: px
Começar a partir da página:

Download "Experiência 01 Oscilador e Sequenciador Binário - Decimal"

Transcrição

1 Experiência 01 Oscilador e Sequenciador Binário - Decimal Thiago Valentin de Oliveira 29/09/ Introdução Esta experiência consta basicamente da união dos ramos analógico e digital da Eletrônica. Abordamos a construção de um oscilador astável utilizando um chip LM555 e o estudo analógico por trás desse processo. Como aplicação, utilizaremos um contador, decodificadores, display e LED s para criar um sequenciamento numérico binário e decimal, constituindo o sistema digital do projeto. Em suma, criamos uma onda quadrada que irá alimentar um circuito lógico. Este último realizará uma contagem decimal (0 a 9), mostrando de três formas diferentes o valor da contagem: representação binária através de LED s, representação decodificada em decimal através de LED s e representação do algarismo correspondente em display de sete segmentos. As três exibições são independentes e qualquer uma delas pode ser omitida sem comprometer o funcionamento do circuito. Como teste, adicionaremos um circuito simples anti-bouncing, isto é, um circuito anti-trepidação. Ele será usado para testar a implementação digital do circuito de forma manual, independente do oscilador que estamos utilizando. Se você é engenheiro formado, aluno de graduação ou mesmo um desconhecido no assunto, não deverá encontrar dificuldades ao realizar este projeto, já que tentarei expor as ideias da forma mais clara possível. Por outro lado, se você é estudante da área e gostaria de aprofundar um pouco mais o conhecimento da Eletrônica, além do Cálculo e da Física por trás desta, este texto pretende cumprir tal papel. 2 Material Necessário Antes de prosseguirmos, devemos tomar nota do material necessário para executar esse projeto. Cito abaixo a relação do material que foi utilizado por mim, mas deve ficar claro que outros componentes podem ser usados. 1 Protoboard de 1680 pinos 1 Fonte DC - 5,0 V - 1,0 A 1 CI LM CI TTL Contador de década 1 CI TTL Decodificador BCD - Decimal 1 CI TTL Decodificador BCD - 7 segmentos 1 CI TTL portas Nand de 2 entradadas cada 24 Resistores de 330 Ω ou 470 Ω 2 Resistores de 10 KΩ 1

2 2 Resistores de 100 KΩ ou 1 MΩ * 1 Capacitor de 10 nf a 1 µf 1 Capacitor de 100 µf 1 Capacitor de 10 µf * 1 Capacitor de 1 µf * 15 LED s 1 Display de Sete Segmentos Catodo Comum Fios para conexão na Protoboard Os itens marcados com um asterisco (*) são dispensáveis em um primeiro momento, mas eles serão utilizados para fazer algumas mudanças após a montagem do circuito e verificar como varia seu comportamento. Seria interessante, se possível, ter um multímetro digital em mãos (ou mesmo um voltímetro analógico ou digital) para que você possa fazer alguns testes e medidas e corrigir possíveis erros durante o processo. Mas se não tiver tal material, não se preocupe: realizando o passo-a-passo indicado, nenhum problema deverá ocorrer. 3 LM 555 Neste projeto, estamos interessados em construir um oscilador astável à partir de um CI 555, de modo que estudar um pouco o funcionamento desse chip se faz necessário. O circuito integrado LM 555 (e outros como NE 555, CA 555 e MC 1455) é um temporizador ou timer que opera em dois modos básicos: o modo monoestável e o modo astável. O primeiro consiste em um pequeno pulso controlado, que é ativado por uma das entradas do chip; a saída produzida é um sinal em nível lógico 0, que muda para nível lógico 1 e permanece por um intervalo de tempo prédeterminado, depois voltando ao nível lógico 0 e assim permanecendo até que outra entrada chegue ao chip. Neste caso, ocorre apenas um estado estável: o nível baixo. O segundo modo é o que vamos utilizar e consiste numa modificação do primeiro: vamos gerar o mesmo pulso que o monoestável, mas o próprio pulso servirá para realimentar o circuito, de modo que este pulso será seguido por outro e por outro, indefinidamente. Em outras palavras, obtemos uma onda retangular e, por isso, não ocorre nenhum estado estável, já que se trata de um sinal periódico. 3.1 Circuito Interno do 555 Abaixo ilustramos a pinagem (figura 1) e o circuito interno (figura 2) de um CI 555. Observe o diagrama dos pinos e as interligações entre os amplificadores operacionais, o flip-flop, o transistor, os resistores e os pinos de entrada e saída. Figura 1: Pinagem do Chip 555 2

3 Figura 2: Circuito Interno do 555 O funcionamento interno do circuito será estudado nos modos de operação do temporizador, bem como as conexões externas que devem ser feitas aos pinos do chip. A tabela 1 mostra os oito pinos disponíveis no chip, os nomes e a função de cada um. O pino #RESET com uma tralha à esquerda indica que o sinal ativo é o de nível baixo. 1 GND Ground - Terra: nível lógico 0 2 TRIG Trigger - Entrada de disparo 3 OUT Saída do sinal onda quadrada 4 #RESET Resetar flip-flop SR com nível lógico 0 5 CONT Control - Controle do amplificador operacional ligado ao Set do SR (default: 2V cc /3) 6 THRES Thereshold - Limiar: quando a voltagem em THR supera a de CTRL, o pulso acaba 7 DISCH Discharge - Descarga: saída em coletor aberto; é usado para descarregar o capacitor 8 V CC Nível lógico 1 Tabela 1: Pinagem do CI Operação Monoestável A figura 3 ilustra a conexão externa que deve ser feita ao 555 para permitir seu funcionamento como monoestável. São necessários um resistor e um capacitor (R = 10 KΩ e C = 100 µf, respectivamente), além de um capacitor de capacitância bem pequena (indicado entre 10 nf e 1 µf). O pino 8 está em nível alto (V cc ) e o pino 1 está em nível baixo (GND). Entre eles, há uma linha de três resistores em série, de modo que, em cada um, há uma queda de tensão de V cc. Assim, a entrada 3 inversora do operacional superior está fixa em 2 3 V cc e a entrada não inversora do operacional inferior está fixa em V cc 3. Inicialmente, o SR está com saída Q alta (já que Q está baixo). O transistor está ON e o terra é transmitido ao pino 7, fazendo com que o capacitor se mantenha descarregado. Quando um disparo chega no pino 2, o operacional inferior é ativado, emitindo um sinal de reset ao SR. A saída Q se torna baixa e Q se torna alta; é o início do pulso na saída 3. Como Q = 0, o transistor corta, desconectando o pino 7. Assim, uma corrente flui através do resistor R, passando pelo capacitor e carregando-o. A tensão no capacitor é usada como limiar no pino 6, de modo que ao atingir cerca de 2 3 V cc, o operacional superior emite um sinal de set ao SR, fazendo Q voltar a nível alto e Q a nível baixo. Novamente em modo de 3

4 Figura 3: Operação Monoestável saturação, o transistor conduz nível baixo ao pino 7, descarregando o capacitor. Assim, o circuito volta à sua estabilidade inicial. O que interessa como projetista é poder calcular o tempo T 1 em que a saída está em nível lógico 1. Da explicação acima, vimos que esse período equivale ao tempo de carga do capacitor. Não vamos considerar os atrasos do amplificador operacional e do flip-flop SR, já que eles são da ordem de nanossegundos e seus efeitos se compensam com um atraso na transição 0 1 em Q e depois na transição 1 0 em Q. No intervalo de tempo que o capacitor está se carregando temos o seguinte modelo: uma fonte contínua de tensão V cc associada em série com um resistor de resistência R e um capacitor de capacitância C, ligado ao terra. Devemos lembrar, agora, algumas fórmulas de circuitos elétricos. A Lei de Ohm fornece a relação entre voltagem, resistência e corrente para o resistor: V R = R.I. A equação equivalente para o capacitor relaciona carga armazenada, capacitância e voltagem: q = C.V C. Por fim, traduzindo o modelo como uma malha única e aplicando a Lei de Kirchoff das Malhas, obtemos V cc V R V C = 0 ou R.I + V C = V cc. Mas a corrente é a derivada, em relação ao tempo, da quantidade de carga que flui pelo sistema, isto é, I = dq dt. Assim, escrevendo a equação para V C, fazemos I = d dt (C.V C) = C dv C dt e, substituindo, obtem-se RC dv C dt dv C dt + 1 RC V C = V cc RC + V C = V cc e a equação diferencial se torna que é uma equação diferencial linear de primeira ordem. Para resolvê-la, multiplicamos ambos os membros pelo fator integrante µ(t) = e 1 RC dt = e t RC, obtendo dv C (t).e t 1 RC + dt RC V C.e t RC que equivale a d ( ) V C (t).e t RC = V cc dt RC.e t Integrando ambos os membros em relação à t, obtemos = V cc RC.e t RC RC V C (t).e t RC = Vcc RC.e t RC dt (1) 4

5 ou ou V C (t) = e t RC. [ V cc.e t RC + K ] V C (t) = V cc + K.e t RC (2) Agora, observamos que independente do valor da constante K, lim V C (t) = V cc, como já se esperava; t isto é, para um intervalo de tempo muito grande, a voltagem nos terminais do capacitor se torna igual à voltagem da fonte que alimenta o circuito. Adicionando a condição física inicial V C (0) = 0 encontramos 0 = V cc + K ou K = V cc. Portanto, a solução da equação (1) é dada por (3). ( ) V C (t) = V cc 1 e t RC (3) Como estamos interessados em carregar o capacitor até cerca de 2 3 V cc, calculamos T 1 de modo que V C (T 1 ) = 2 ( ) 3 V cc. Assim V C (T 1 ) = V cc 1 e T 1 RC 2 ( ) 3 V cc = V cc 1 e T 1 RC 2 3 = 1 T e 1 RC e T 1 RC = 1 3 T 1 RC = ln 1 3 T 1 RC = ln 3 T 1 = RC ln 3. Assim: T 1 1, 1RC (4) Conclusão: o período T 1 vale aproximadamente 1,1RC. Assim, ao escolhermos um resistor com R = 10KΩ e um capacitor com C = 100µF, obtemos T 1 1, 1s. Uma observação que deve ser feita é que define-se a constante de tempo τ = RC de modo que quando temos t = τ, o argumento da exponencial na equação (3) torna-se 1, isto é, V C (τ) = V cc ( 1 e 1 ) ou V C (τ) = 0, 632V cc. Assim, decorre da definição que τ é o tempo necessário para que o capacitor atinja entre seus terminais 63,2 % da voltagem da fonte que o carrega. Como 2 3 V cc = 0, 667V cc ou 66,7% de V cc, conclui-se que o tempo necessário para o capacitor atingir 2 3 V cc nos seus terminais é pouco mais que uma constante de tempo τ. Com essa nomenclatura, as equações (1), (2), (3) e (4) se tornam dv C dt + 1 τ V C = V cc τ (5) V C (t) = V cc + K.e t τ (6) V C (t) = V cc (1 e t τ ) (7) 3.3 Operação Astável T 1 1, 1τ (8) Para implementar o oscilador astável à partir do 555, é preciso fazer uma ligeira modificação do projeto anterior. Basicamente, precisaremos de mais um resistor para conectar o pino 7 aos pinos 6 e 2. A função desse resistor é descarregar o capacitor quando o transistor transmitir o terra ao pino de descarga (7). O pino de limiar (6) é ligado ao pino disparador (2) para que o processo de descarga do capacitor sirva de disparo para um próximo pulso. Com isso, a voltagem do capacitor oscilará entre V cc 3 e 2 3 V cc. Vamos chamar o primeiro resistor de R 1 e o segundo, o que adicionamos por último, de R 2. A figura 4 ilustra as conexões externas que devem ser feitas para o 555 operar como oscilador astável, segundo o descrito acima. Como na carga do capacitor, a corrente flui através dos dois resistores, a constante 5

6 Figura 4: Operação Astável de tempo na carga do capacitor é τ 1 = (R 1 + R 2 )C. Já durante a descarga do capacitor, a corrente flui através do resistor R 2 somente, de modo que a constante de tempo na descarga do capacitor é τ 2 = R 2 C. O modelo da equação de carga e descarga do capacitor é semelhante ao modelo anterior para o monoestável, alterando apenas alguns parâmetros. Para a carga do capacitor, a equação diferencial é semelhante à (5), ajustando a constante de tempo para τ 1 = (R 1 + R 2 )C e obtendo (9). dv C dt + 1 τ 1 V C = V cc τ 1 (9) A solução geral é dada pela equação (6), ajustando, também, a constante de tempo, obtendo a equação geral (10). V C (t) = V cc + K.e t τ 1 (10) Sendo T 1 o período de carga do capacitor (entre os referidos valores V cc 3 e 2 3 V cc), onde a saída fica em nível lógico 1, consideramos a condição inicial V C (0) = V cc. Neste caso, excluímos apenas o primeiro 3 período de execução, já que ao ligar o circuito, o capacitor terá voltagem inicial nula. Obtemos, então, V C (0) = V cc 3 = V cc + K ou K = 2 3 V cc. Substituindo, obtemos a solução dada pela equação (11). ( V C (t) = V cc 1 2 ) t 3 e τ 1 Sendo V C (T 1 ) = 2 3 V cc, segue que 2 ( 3 V cc = V cc 1 2 ) T 1 3 e τ 1 e T 1 τ 1 = 1 2 T 1 = ln 1 τ 1 2 T 1 = ln 2 T 1 = τ 1 ln 2 τ 1 Assim, segue que 2 3 = 1 2 T 1 3 e τ 1 2 T 1 3 e τ 1 (11) = 1 3 T 1 0, 693(R 1 + R 2 )C (12) O modelo de descarga (período T 2 ) é exatamente o oposto: a voltagem inicial é V c (0) = 2 3 V cc e a voltagem final é V c (T 2 ) = V cc. O equação diferencial, agora, não admite uma fonte externa; basta fazer 3 V cc = 0 e tomar a constante de tempo τ 2, obtendo a equação (13). 6

7 Para resolvê-la, escrevemos a equação na forma dv C + 1 V C = 0 (13) dt τ 2 dv C dt = 1 τ 2 V C o que fornece uma solução geral V C (t) = K.e t τ 2 (14) Adicionando a condição inicial do problema V c (0) = 2 3 V cc obtemos K = 2 3 V cc. Assim, a solução é dada pela equação (15). V C (t) = 2 3 V cc.e t τ 2 (15) Sendo V c (T 2 ) = V cc 3, temos V cc 3 = 2 3 V cc.e T 2 τ 2 e T 2 τ 2 = 1 2 T 2 = ln 1 τ 2 2 T 2 = ln 2 T 2 = τ 2 ln 2 τ 2 e, portanto, T 2 0, 693R 2 C (16) Agora, podemos definir o período total do pulso como sendo T = T 1 + T 2 ou T = τ 1 ln 2 + τ 2 ln 2 ou T = (τ 1 + τ 2 ) ln 2. Desse modo, resulta a equação (17). T 0, 693(R 1 + 2R 2 )C (17) Diretamente da equação anterior, podemos encontrar a frequência de oscilação do sinal através da equação (18). f = 1 T 1, 443 (R 1 + 2R 2 )C A figura 5 ilustra o comportamento da saída em função do tempo, simultaneamente com o processo de carga e descarga do capacitor. (18) Figura 5: Saída do Oscilador e Voltagem no Capacitor Por fim, observamos que o período T 1 deve ser, por definição, maior que o período T 2. Desta forma pode ser interessante estudar o comportamento da relação entre os dois períodos. Chamamos de duty 7

8 cycle a razão entre o período T 2 e o período total T. Ela varia de 50 % a 100 %. Da equação (19), observamos que para R 1 << R 2, D 50%. Já para R 1 >> R 2, D 100%. D = T 2 T = R 2 R 1 + 2R 2 (19) No nosso projeto, utilizaremos dois resistores com resistências R 1 = R 2 = 10KΩ e um capacitor de capacitância C = 100µF. Dessa forma, teremos T 1 1, 4s, T 2 0, 7s e T 2, 1s. Como estamos interessados apenas no período de oscilação do sinal (T ), não nos importamos com a distribuição entre T 1 e T 2 e o duty cycle. 4 TTL 7490 ou TTL 74LS90 O CI 7490 da família TTL é entitulado em seu data sheet original como Decade counter, isto é, trata-se de um contador de década. A figura 6 ilustra a arquitetura interna do CI, constituída por quatro flip-flops (três JK e um SR). As saídas de contagem são Q 3, Q 2, Q 1 e Q 0, do bit mais significativo para o menos significativo; isto é o número é representado por Q 3 Q 2 Q 1 Q 0. Figura 6: Arquitetura interna do 7490 O flip-flop correspondente ao bit menos significativo (Q 0 ) tem uma entrada de clock denominada CP 0 e este bit é independente dos demais, de modo que o flip-flop correspondente ao segundo bit menos significativo (Q 1 ) apresenta uma entrada de clock denominada CP 1. Trabalhando de forma independente CP 0 exibe em Q 0 um contador de módulo 2 e CP 1 exibe em Q 3 Q 2 Q 1 um contador de módulo 5, através dos outros flip-flops. Para montador o contador módulo 10 basta conectar Q 0 (pino 12) a CP 1 (pino 1) e utilizar CP 0 (pino 14) como entrada de clock. Figura 7: Representação Lógica e Pinagem do

9 As entradas MR 1 e MR 2 são controladores de Master Reset e ambos precisam estar em nível lógico 1 para resetar o contador (Q 3 Q 2 Q 1 Q 0 = 0000, isto é, 0). As entradas MS 1 e MS 2 são controladores de Master Set e ambos precisam estar em nível lógico 1 para setar o contador (Q 3 Q 2 Q 1 Q 0 = 1001, isto é, 9). Ambos os clocks são ativados por transição negativa e todos esses detalhes podem ser observados na figura 7. Para simplificar, na figura 6, as entradas J e K estão em nível lógico 1 quando nada for explicitado (quando elas estiverem em aberto). Além disso, alguns pinos deste chip não são utilizados internamente. Denotamos seus nomes por NC: Not Connected (Não Conectado). A pinagem completa também pode ser observada na figura 7. Para melhor explicar seu funcionamento as tabelas 2 e 3 mostram como as saídas se exibem em função das entradas de controle. As entradas com um X são os chamados don t cares, isto é, podem assumir qualquer valor, seja nível lógico 0 ou nível lógico 1. ENTRADAS SAÍDAS MR 1 MR 2 MS 1 MS 2 Q 3 Q 2 Q 1 Q X X X X X 0 X CONTAGEM X 0 X 0 CONTAGEM 0 X X 0 CONTAGEM X 0 0 X CONTAGEM Tabela 2: Modos de operação do 7490 Q 3 Q 2 Q 1 Q 0 Valor Tabela 3: Contagem do TTL 7442 ou TTL 74LS42 O CI 7442 da família TTL é um decodificador BCD para decimal. Ele recebe quatro bits codificados em BCD (Binary Coded Decimal) e ativa uma de suas dez saídas correspondente ao valor decimal (0 a 9). A figura 8 ilustra sua arquitetura interna. O princípio de funcionamento do decodificador é bem simples: as entradas A 3, A 2, A 1 e A 0 e seus complementos A 3, A 2, A 1 e A 0 são combinadas em diversas posições para ativar sempre apenas uma das dez portas NAND s (nível lógico 0) que estão conectadas às saídas do circuito. Isso faz com que todas as outras saídas permaneçam inativas (nível lógico 1). 9

10 Figura 8: Arquitetura interna do 7442 O símbolo lógico deste CI pode ser observado na figura 9, bem como a sua pinagem. As entradas A 3, A 2, A 1 e A 0 representam os quatro bits de entrada BCD, do mais significativo para o menos significativo. Neste caso, serão as próprias saídas Q 3, Q 2, Q 1 e Q 0 do contador, respectivamente. Os símbolos que se apresentam em todas as dez saídas do circuito, pequenos círculos entre o chip e os pinos, são utilizados para indicar que a saída é invertida, isto é, a saída ativa deve estar em nível lógico 0, ao passo que a inativa esteja em nível lógico 1. Figura 9: Representação Lógica e Pinagem do 7442 A tabela 4 ilustra a Tabela Verdade do CI 7442, mostrando qual saída está ativa para as dez entradas diferentes. Os últimos seis casos são inválidos, pois não há código BCD maior que 1001, ou 9. Nesses casos, todas as saídas estão inativas (nível lógico 1). Os valores inválidos não serão utilizados pois este CI será alimentado pelas saídas do contador de década. Assim, apenas valores entre 0 e 9 ocorrerão. 10

11 ENTRADAS SAÍDAS A 3 A 2 A 1 A TTL 7448 ou TTL 74LS48 Tabela 4: Tabela Verdade do 7442 Assim como o CI 7442, o 7448 também é um decodificador, mas trata-se de um decodificador BCD - 7 segmentos. Ele é usado para decodificar o código em BCD para uma de suas sete saídas, que representam os sete filamentos de um display de sete segmentos. A figura 10 ilustra sua arquitetura interna e a figura 11 mostra seu símbolo lógico e seu diagrama de pinos. Figura 10: Arquitetura interna do 7448 O CI 7448 apresenta uma entrada LT : Lamp Test (pino 3) que força todas as saídas para nível lógico 1 se ela estiver em nível lógico 0. Já os pinos 5 e 4, RBI e BI/RBO, respectivamente, são usados para cascateamento de CI s. Se RBI = 1, o decodificador opera normalmente. Se RBI = 0 então as saídas operam normalmente somente se ele não estiver mostrando o número zero. Se o número a ser 11

12 exibido for zero, as saídas são desativadas (quando conectado ao display, ele apaga) e BI/RBO = 0. A tabela 5 representa a Tabela Verdade de funcionamento desse chip, quando RBI = 1 e LT = 1. Para os casos em que o número é maior que 9, atribuiu-se alguns símbolos para serem formados no display. Como esse decodificador será alimentado por um contador de década, isso nunca ocorrerá, pois apenas valores entre 0 e 9 surgirão nas entradas do decodificador. Figura 11: Representação Lógica e Pinagem do 7448 As saídas do decodificador são conectadas aos pinos correspondentes no display de sete segmentos tipo catodo comum através de um resistor. Assim, quando a saída do decodificador está em nível lógico 1, o filamento correspondente no display está aceso. ENTRADAS SAÍDAS A 3 A 2 A 0 A 1 a b c d e f g Tabela 5: Tabela Verdade do Display de Sete Segmentos O display de sete segmentos apresenta sete segmentos (a, b, c, d, e, f, g) e um ponto decimal. Ele é ligado às respectivas saídas do 7448 através de um resistor. A saída alta do decodificador ativa o filamento correspondente já que o display é do tipo catodo comum (todos os LED s dos filamentos estão ligados ao terra pelo catodo). A figura 12 mostra a pinagem e a estrutura deste display. Observe que dois pinos devem ser conectados ao terra. O pino correspondente ao ponto decimal (pino 5) não será usado nesta experiência. 12

13 7 Circuito Antitrepidação Figura 12: Display de sete segmentos Um circuito antitrepidação é muito simples de ser feito. Usando um CI TTL 7400 (composto por quatro portas NAND de 2 entradas cada), montamos o circuito ilustrado abaixo. Figura 13: Circuito antitrepidação A chave do lado esquerdo está ligada ao terra e indica que devemos fazer manualmente a conexão do terra com um pino do NAND superior (4) e depois com um pino do NAND inferior (9). Enquanto a conexão está em aberto, ambos os pinos ficam polarizados em nível lógico 1. O NAND superior é responsável por setar a saída e o inferior por resetá-la. O circuito funciona como um pequeno flip-flop SR modificado. Em ambos os NAND s a numeração é indicada, mas pode-se usar outros pinos do mesmo CI, conforme ilustra a figura 14. Não esqueça de conectar o pino 1 ao terra e o pino 14 ao V cc. Figura 14: Circuito interno e pinagem do

14 Esta parte do projeto é extremamente dispensável. Ela só é feita para criar um clock manual, que será conectado ao contador de década. Uma vez verificado que o contador e os decodificadores estão operando corretamente e o oscilador astável também funciona, a saída do oscilador substituirá o clock manual. 8 Execução Agora que todos os detalhes foram explicados e todas as demonstrações foram feitas, basta concluir o projeto unindo todas as partes. A lista à seguir indica a ordem de execução das tarefas. Mãos à obra! Monte e teste o oscilador astável como descrito na seção 3 Se desejar, monte o circuito antitrepidação descrito na seção 7 para utilizá-lo como clock para o contador Monte e teste o contador de década como descrito na seção 4, incluindo LED s para mostrar o estado atual do contador Monte e teste o decodificador BCD - Decimal como descrito na seção 5, incluindo LED s para verificar seu funcionamento Monte e teste o decodificador BCD - 7 segmentos como descrito na seção 6, incluindo LED s para verificar seu funcionamento Conecte a saída do oscilador à entrada de clock do contador e verifique o funcionamento do projeto como um todo Depois de tudo pronto, troque as resistências e a capacitância do oscilador astável e observe as mudanças que ocorrem. Segundo nossas contas, o período total de oscilação da saída do astável é dado pela equação (17) T 0, 693(R 1 + 2R 2 )C e para R 1 = R 2 = 10KΩ e C = 100µF, obtivemos T 2, 1s (vide página 7). Se você utilizar por exemplo capacitores com C = 10µF e C = 1µF, irá diminuir o período em 10 e em 100 vezes, respectivamente. Observe o efeito que isso cria. Para pensar: se você trocar os resistores de resistências R 1 = R 2 = 10KΩ por R 1 = R 2 = 100KΩ e o capacitor de capacitância C = 100µF por C = 10µF ou mesmo se trocar os resistores por R 1 = R 2 = 1MΩ e o capacitor por C = 1µF, o período de oscilação se mantém inalterado. O que muda então nessa situação? As imagens (15) e (16), mostram, respectivamente, o projeto completo e o resultado que eu obtive ao realizá-lo em minha protoboard. O projeto não inclui o circuito antitrepidação apresentado na seção 7. Na foto do meu projeto, o oscilador está no canto superior esquerdo, ligado a um LED para verificar sua atividade. Ao lado dele está o contador de década, ligado a quatro LED s que verificam seu estado atual. No lado direito, encontra-se o decodificador BCD - decimal, que aciona um dos dez LED s dispostos no canto inferior direito. No centro da plataforma inferior está o decodificador BCD - 7 segmentos e, ao seu lado esquerdo, o display de sete segmentos em atividade. Finalmente, no canto inferior esquerdo está o clock manual, o circuito antitrepidação. 14

15 15 Figura 15: Projeto Completo

16 16 Figura 16: Resultado do projeto executado

SEQUENCIADOR COM 10 LEDs

SEQUENCIADOR COM 10 LEDs SEQUENCIADOR COM 10 LEDs Este projeto cria um sequenciador de 10 linhas a partir de um oscilador formado por um CI 555. O oscilador 555 envia um pulso para a entrada de um contador de década (CI 7490),

Leia mais

DATA: HORÁRIO DE ENTRADA: HORÁRIO DE SAÍDA: BANCADA: NOMES DOS COMPONENTES DO GRUPO DE TRABALHO: PROJETO - CONTADORES ASSÍNCRONOS

DATA: HORÁRIO DE ENTRADA: HORÁRIO DE SAÍDA: BANCADA: NOMES DOS COMPONENTES DO GRUPO DE TRABALHO: PROJETO - CONTADORES ASSÍNCRONOS DATA: HORÁRIO DE ENTRADA: HORÁRIO DE SAÍDA: BANCADA: RGM: NOMES DOS COMPONENTES DO GRUPO DE TRABALHO: PROJETO - CONTADORES ASSÍNCRONOS O objetivo desse projeto extra é aplicar os conceitos vistos em aula

Leia mais

DATA: HORÁRIO DE ENTRADA: HORÁRIO DE SAÍDA: BANCADA: NOMES DOS COMPONENTES DO GRUPO DE TRABALHO:

DATA: HORÁRIO DE ENTRADA: HORÁRIO DE SAÍDA: BANCADA: NOMES DOS COMPONENTES DO GRUPO DE TRABALHO: DATA: HORÁRIO DE ENTRADA: HORÁRIO DE SAÍDA: BANCADA: RGM: NOMES DOS COMPONENTES DO GRUPO DE TRABALHO: PROJETO - CONTADOR SÍNCRONO O objetivo desse projeto extra é aplicar os conceitos vistos em aula teórica

Leia mais

EXPERIMENTS MANUAL Manual de Experimentos Manual de Experimentos

EXPERIMENTS MANUAL Manual de Experimentos Manual de Experimentos 555 M-1108A *Only illustrative image./imagen meramente ilustrativa./ Imagem meramente ilustrativa. EXPERIMENTS MANUAL Manual de Experimentos Manual de Experimentos Conteúdo 1. Introdução... 2 2. Experiência

Leia mais

Circuito integrado 555 e suas aplicações

Circuito integrado 555 e suas aplicações Circuito integrado 555 e suas aplicações Introdução Um circuito integrado popular é o versátil 555. Introduzido pela em 1973 pela Signetcs, este circuito integrado tem aplicações que variam de equipamentos

Leia mais

Tutorial de Eletrônica Aplicações com 555 v2010.05

Tutorial de Eletrônica Aplicações com 555 v2010.05 Tutorial de Eletrônica Aplicações com 555 v2010.05 Linha de Equipamentos MEC Desenvolvidos por: Maxwell Bohr Instrumentação Eletrônica Ltda. Rua Porto Alegre, 212 Londrina PR Brasil http://www.maxwellbohr.com.br

Leia mais

Conceitos Fundamentais de Eletrônica

Conceitos Fundamentais de Eletrônica Conceitos Fundamentais de Eletrônica A eletrônica está fundamentada sobre os conceitos de tensão, corrente e resistência. Podemos entender como tensão a energia potencial armazenada em uma pilha ou bateria

Leia mais

MINISTÉRIO DA EDUCAÇÃO CEFET/SC - Unidade de São José. Curso Técnico em Telecomunicações CONTADORES. Marcos Moecke

MINISTÉRIO DA EDUCAÇÃO CEFET/SC - Unidade de São José. Curso Técnico em Telecomunicações CONTADORES. Marcos Moecke MINISTÉRIO DA EDUCAÇÃO - Unidade de São José Curso Técnico em Telecomunicações CONTADORES Marcos Moecke São José - SC, 25 SUMÁRIO 5. CONTADORES... 5. CONTADORES ASSÍNCRONOS... CONTADOR ASSÍNCRONO CRESCENTE...

Leia mais

Índice. Utilizando o integrado 555... 03. Operação monoestável (Temporizador)... 06. Operação astável (Oscilador)... 07

Índice. Utilizando o integrado 555... 03. Operação monoestável (Temporizador)... 06. Operação astável (Oscilador)... 07 Utilizando o CI 555 Índice Utilizando o integrado 555... 03 Operação monoestável (Temporizador)... 06 Operação astável (Oscilador)... 07 O circuito integrado 556... 10 Aplicações úteis... 11 Gerador de

Leia mais

CAPÍTULO 5 CONTADORES NA FORMA DE CIRCUITO INTEGRADO

CAPÍTULO 5 CONTADORES NA FORMA DE CIRCUITO INTEGRADO 1 CAPÍTULO 5 CONTADORES NA FORMA DE CIRCUITO INTEGRADO INTRODUÇÃO Devido a necessidade geral de contadores, já existem muitos contadores de forma de CI's. Na série TTL 74 os mais simples são o 74LS90,

Leia mais

CONTROLE DIGITAL DE VOLUME 1.-----------------------------------------------------------------------------

CONTROLE DIGITAL DE VOLUME 1.----------------------------------------------------------------------------- CONTROLE DIGITAL DE VOLUME 1.----------------------------------------------------------------------------- Uma boa gama de aplicações atuais utiliza o controle de volume digital. Não nos referimos apenas

Leia mais

UNIVERSIDADE FEDERAL DE UBERLÂNDIA FACULDADE DE CIÊNCIAS INTEGRADAS DO PONTAL FÍSICA EXPERIMENTAL III

UNIVERSIDADE FEDERAL DE UBERLÂNDIA FACULDADE DE CIÊNCIAS INTEGRADAS DO PONTAL FÍSICA EXPERIMENTAL III UNIVERSIDADE FEDERAL DE UBERLÂNDIA FACULDADE DE CIÊNCIAS INTEGRADAS DO PONTAL FÍSICA EXPERIMENTAL III 1. OBJETIVOS CARGA E DESCARGA DE UM CAPACITOR a) Levantar, em um circuito RC, curvas de tensão no resistor

Leia mais

Capítulo VIII Registradores de Deslocamento e Contadores

Capítulo VIII Registradores de Deslocamento e Contadores Capítulo VIII Registradores de Deslocamento e Contadores 1 Introdução Vimos no capítulo anterior que flip-flops são dispositivos capazes de memorizar o seu estado (SET ou RESET). Neste capítulo estudaremos

Leia mais

MINISTÉRIO DA EDUCAÇÃO IFSC - Campus São José. CST em Telecomunicações ELETRÔNICA DIGITAL CONTADORES. Marcos Moecke

MINISTÉRIO DA EDUCAÇÃO IFSC - Campus São José. CST em Telecomunicações ELETRÔNICA DIGITAL CONTADORES. Marcos Moecke MINISTÉRIO DA EDUCAÇÃO IFSC - Campus São José CST em Telecomunicações ELETRÔNICA DIGITAL CONTADORES Marcos Moecke São José - SC, 29 SUMÁRIO 5. CONTADORES... 5. CONTADORES ASSÍNCRONOS 5. C 5.2 C ASSÍNCRONOS......

Leia mais

(1) Slide 1. Osciladores e temporizadores

(1) Slide 1. Osciladores e temporizadores (1) Slide 1 Osciladores e temporizadores A maioria dos equipamentos eletrônicos inclui alguma forma de oscilador ou temporizador, que podem ser com formatos de onda pulsada, senoidal, quadrada, em dente-de-serra

Leia mais

LABORATÓRIO DE ELETRÔNICA DIGITAL Experiência 9: Análise de Circuitos com Contadores

LABORATÓRIO DE ELETRÔNICA DIGITAL Experiência 9: Análise de Circuitos com Contadores 45 1. Objetivos Realizar a analise detalhada de todos os blocos constituintes de um relógio digital. Implementar um relógio digital. 2. Conceito Um contador é construído a partir de flip-flops (T, D JK,

Leia mais

Universidade Federal do Rio de Janeiro. Princípios de Instrumentação Biomédica. Módulo 4

Universidade Federal do Rio de Janeiro. Princípios de Instrumentação Biomédica. Módulo 4 Universidade Federal do Rio de Janeiro Princípios de Instrumentação Biomédica Módulo 4 Faraday Lenz Henry Weber Maxwell Oersted Conteúdo 4 - Capacitores e Indutores...1 4.1 - Capacitores...1 4.2 - Capacitor

Leia mais

Circuitos Digitais Cap. 6

Circuitos Digitais Cap. 6 Circuitos Digitais Cap. 6 Prof. José Maria P. de Menezes Jr. Objetivos Flip-Flops e Dispositivos Correlatos Latch com portas NAND Latch com portas NOR Sinais de Clock e Flip-Flops com Clock Flip-Flop S-C

Leia mais

Conheça o 4017 (ART062)

Conheça o 4017 (ART062) 1 de 11 20/02/2013 18:14 Conheça o 4017 (ART062) Este artigo não é novo, mas sua atualidade se manterá por muito tempo, o que jusitifica o fato dele ser um dos mais acessados desse site. De fato, o circuito

Leia mais

1 Utilizando o Protoboard

1 Utilizando o Protoboard Ensino Médio Integrado em Automação Industrial Sistemas Digitais e Projeto Integrador Professor: Rafael Garlet de Oliveira 1 Utilizando o Protoboard Aula Prática 1 É um equipamento utilizado para montagens

Leia mais

Laboratório 7 Circuito RC *

Laboratório 7 Circuito RC * Laboratório 7 Circuito RC * Objetivo Observar o comportamento de um capacitor associado em série com um resistor e determinar a constante de tempo do circuito. Material utilizado Gerador de função Osciloscópio

Leia mais

INSTITUTO DE EDUCAÇÃO, CIÊNCIA E TECNOLOGIA DE SERGIPE COORDENADORIA DE ELETRÔNICA CONTADORES

INSTITUTO DE EDUCAÇÃO, CIÊNCIA E TECNOLOGIA DE SERGIPE COORDENADORIA DE ELETRÔNICA CONTADORES INSTITUTO DE EDUCAÇÃO, CIÊNCIA E TECNOLOGIA DE SERGIPE COORDENADORIA DE ELETRÔNICA CONTADORES Relatório técnico apresentado como requisito parcial para obtenção de aprovação na disciplina de Sistemas Digitais.

Leia mais

Conversão Analógica Digital

Conversão Analógica Digital Slide 1 Conversão Analógica Digital Até agora, discutimos principalmente sinais contínuos (analógicos), mas, atualmente, a maioria dos cálculos e medições é realizada com sistemas digitais. Assim, precisamos

Leia mais

Testador de cabos de rede

Testador de cabos de rede Testador de cabos de rede Elias Bernabé Turchiello Técnico responsável Este manual se destina unicamente a orientar o montador interessado neste projeto, portanto não se encontram neste manual: detalhes

Leia mais

Flip-Flops (Aplicações) Prof. Rômulo Calado Pantaleão Camara

Flip-Flops (Aplicações) Prof. Rômulo Calado Pantaleão Camara Flip-Flops (Aplicações) Prof. Rômulo Calado Pantaleão Camara Carga Horária: 2h/60h Pulsos Digitais Pulso positivo: executa sua função quando está em nível alto Pulso negativo: executa sua função quando

Leia mais

Eletrônica Industrial Apostila sobre Modulação PWM página 1 de 6 INTRODUÇÃO

Eletrônica Industrial Apostila sobre Modulação PWM página 1 de 6 INTRODUÇÃO Eletrônica Industrial Apostila sobre Modulação PWM página 1 de 6 Curso Técnico em Eletrônica Eletrônica Industrial Apostila sobre Modulação PWM Prof. Ariovaldo Ghirardello INTRODUÇÃO Os controles de potência,

Leia mais

UNIVERSIDADE CATÓLICA DE PETRÓPOLIS CENTRO DE ENGENHARIA E COMPUTAÇÃO

UNIVERSIDADE CATÓLICA DE PETRÓPOLIS CENTRO DE ENGENHARIA E COMPUTAÇÃO UNIVERSIDADE CATÓLICA DE PETRÓPOLIS CENTRO DE ENGENHARIA E COMPUTAÇÃO Amanda 5ª Atividade: Codificador e codificação de linha e seu uso em transmissão digital Petrópolis, RJ 2012 Codificador: Um codoficador

Leia mais

Amplificador Operacional Básico. Amplificador Operacional Básico

Amplificador Operacional Básico. Amplificador Operacional Básico Amplificador Operacional Básico Eng.: Roberto Bairros dos Santos. Um empreendimento Bairros Projetos didáticos www.bairrospd.kit.net Este artigo descreve como identificar o amplificador operacional, mostra

Leia mais

PROGRAMAÇÃO EM LINGUAGEM LADDER LINGUAGEM DE RELÉS

PROGRAMAÇÃO EM LINGUAGEM LADDER LINGUAGEM DE RELÉS 1 PROGRAMAÇÃO EM LINGUAGEM LADDER LINGUAGEM DE RELÉS INTRODUÇÃO O processamento interno do CLP é digital e pode-se, assim, aplicar os conceitos de lógica digital para compreen8 der as técnicas e as linguagens

Leia mais

CAPÍTULO 14 MONOESTÁVEIS E ASTÁVEIS

CAPÍTULO 14 MONOESTÁVEIS E ASTÁVEIS 1 CAPÍTULO 14 MONOESTÁVEIS E ASTÁVEIS INTRODUÇÃO Basicamente tem-se dois tipos de multivibradores: os monoestáveis e os astáveis ou osciladores. Como o próprio nome indica, um monoestável tem somente um

Leia mais

Experimento 06 Unidade Aritmética

Experimento 06 Unidade Aritmética Murilo Soares Pereira, RA: 298468 Pedro Henrique de Freitas, RA: 321443 Experimento 06 Unidade Aritmética Prof. Takashi Utsonomiya Universidade Federal de São Carlos São Carlos - SP Sumário 3 1 Resumo

Leia mais

EE531 - Turma S. Diodos. Laboratório de Eletrônica Básica I - Segundo Semestre de 2010

EE531 - Turma S. Diodos. Laboratório de Eletrônica Básica I - Segundo Semestre de 2010 EE531 - Turma S Diodos Laboratório de Eletrônica Básica I - Segundo Semestre de 2010 Professor: José Cândido Silveira Santos Filho Daniel Lins Mattos RA: 059915 Raquel Mayumi Kawamoto RA: 086003 Tiago

Leia mais

3 Sistemas de Numeração:

3 Sistemas de Numeração: 3 Sistemas de Numeração: Os computadores eletrônicos têm como base para seu funcionamento a utilização de eletricidade. Diferente de outras máquinas que a presença ou ausência de eletricidade apenas significam

Leia mais

GUIA DE LABORATÓRIO DE SISTEMAS DIGITAIS PARA O CURSO DE ENGENHARIA DE CONTROLE E AUTOMAÇÃO

GUIA DE LABORATÓRIO DE SISTEMAS DIGITAIS PARA O CURSO DE ENGENHARIA DE CONTROLE E AUTOMAÇÃO GUIA DE LABORATÓRIO DE SISTEMAS DIGITAIS PARA O CURSO DE ENGENHARIA DE CONTROLE E AUTOMAÇÃO Agosto/2004 V2 INTRODUÇÃO Este guia foi preparado para auxilio às aulas de laboratório para o curso de Engenharia

Leia mais

Prof. Antonio Carlos Santos. Aula 7: Polarização de Transistores

Prof. Antonio Carlos Santos. Aula 7: Polarização de Transistores IF-UFRJ Elementos de Eletrônica Analógica Prof. Antonio Carlos Santos Mestrado Profissional em Ensino de Física Aula 7: Polarização de Transistores Este material foi baseado em livros e manuais existentes

Leia mais

Aula Prática 6 Circuitos Elétricos III Carga e Descarga da Capacitores

Aula Prática 6 Circuitos Elétricos III Carga e Descarga da Capacitores Aula Prática 6 Circuitos Elétricos III Carga e Descarga da Capacitores Disciplinas: Física III (ENG 06034) Fundamentos de Física III (ENG 10079) Física Experimental II ( DQF 10441) Depto Química e Física

Leia mais

Contadores (Aula1) Prof. Rômulo Calado Pantaleão Camara

Contadores (Aula1) Prof. Rômulo Calado Pantaleão Camara Contadores (Aula1) Prof. Rômulo Calado Pantaleão Camara Carga Horária: 2h/60h Contadores Contadores (cont.) Os contadores podem ser classificados por: Tipo de controle - Assíncrono - Síncrono Tipo de contagem

Leia mais

Circuitos Seqüenciais: Latches e Flip-Flops. Fabrício Noveletto

Circuitos Seqüenciais: Latches e Flip-Flops. Fabrício Noveletto Circuitos Seqüenciais: Latches e Flip-Flops Fabrício Noveletto Objetivos Usar portas lógicas para construir latches básicos Explicar a diferença entre um latch S-R e um latch D Reconhecer a diferença entre

Leia mais

Conceitos básicos do

Conceitos básicos do Conceitos básicos Conceitos básicos do Este artigo descreve os conceitos de memória eletrônica. Apresentar os conceitos básicos dos flip-flops tipo RS, JK, D e T, D Apresentar o conceito da análise de

Leia mais

Aula 8 Circuitos Integrados

Aula 8 Circuitos Integrados INTRODUÇÃO À ENGENHRI DE COMPUTÇÃO PONTIFÍCI UNIVERSIDDE CTÓLIC DO RIO GRNDE DO SUL FCULDDE DE ENGENHRI ula Circuitos Integrados Introdução Portas Lógicas em Circuitos Integrados Implementação de Funções

Leia mais

Conversor Analógico /Digital

Conversor Analógico /Digital O que é: Um sistema eletrônico que recebe uma tensão analógica em sua entrada e converte essa tensão para um valor digital em sua saída. Processo de conversão Consiste basicamente em aplicar uma informação

Leia mais

Arquitetura de Rede de Computadores

Arquitetura de Rede de Computadores TCP/IP Roteamento Arquitetura de Rede de Prof. Pedro Neto Aracaju Sergipe - 2011 Ementa da Disciplina 4. Roteamento i. Máscara de Rede ii. Sub-Redes iii. Números Binários e Máscara de Sub-Rede iv. O Roteador

Leia mais

Tecnicas com Sistemas Digitais

Tecnicas com Sistemas Digitais Tecnicas com Sistemas Digitais Prof. Engº Luiz Antonio Vargas Pinto 1 Prof. Eng Luiz Antonio Vargas Pinto 2 Prof. Eng Luiz Antonio Vargas Pinto Índice Índice...2 Introdução...3 Ruído (Bounce)...3 Transistor

Leia mais

Experimento 03 Circuito Decodificador e Multiplex

Experimento 03 Circuito Decodificador e Multiplex Murilo Soares Pereira, RA: 298468 Pedro Henrique de Freitas, RA: 321443 Experimento 03 Circuito Decodificador e Multiplex Prof. Takashi Utsonomiya Universidade Federal de São Carlos São Carlos - SP Sumário

Leia mais

Como utilizar um multímetro digital

Como utilizar um multímetro digital 1 Como utilizar um multímetro digital Um multímetro digital oferece a facilidade de mostrar diretamente em seu visor, que chamamos de display de cristal líquido, ou simplesmente display, o valor numérico

Leia mais

FÍSICA 3 Circuitos Elétricos em Corrente Contínua. Circuitos Elétricos em Corrente Contínua

FÍSICA 3 Circuitos Elétricos em Corrente Contínua. Circuitos Elétricos em Corrente Contínua FÍSICA 3 Circuitos Elétricos em Corrente Contínua Prof. Alexandre A. P. Pohl, DAELN, Câmpus Curitiba EMENTA Carga Elétrica Campo Elétrico Lei de Gauss Potencial Elétrico Capacitância Corrente e resistência

Leia mais

Caracterização temporal de circuitos: análise de transientes e regime permanente. Condições iniciais e finais e resolução de exercícios.

Caracterização temporal de circuitos: análise de transientes e regime permanente. Condições iniciais e finais e resolução de exercícios. Conteúdo programático: Elementos armazenadores de energia: capacitores e indutores. Revisão de características técnicas e relações V x I. Caracterização de regime permanente. Caracterização temporal de

Leia mais

Projeto de Máquinas de Estado

Projeto de Máquinas de Estado Projeto de Máquinas de Estado Organizado por Rodrigo Hausen. Original de Thomas L. Floyd. Versão 0: 15 de março de 2013 http://compscinet.org/circuitos Resumo Grande parte deste texto, exemplos e estrutura

Leia mais

CONTROLE DE UM SERVO MOTOR

CONTROLE DE UM SERVO MOTOR CONTROLE DE UM SERVO MOTOR Versão 2014 RESUMO Esta experiência tem como objetivo a familiarização e o projeto de um circuito de controle simples de um servo motor. A parte experimental inclui atividades

Leia mais

Descrição dos pinos do Módulo Driver Motor com Dupla Ponte-H - L298N:

Descrição dos pinos do Módulo Driver Motor com Dupla Ponte-H - L298N: O MÓDULO DRIVER MOTOR COM DUPLA PONTEH - L298N é baseado no chip L298N com dupla Ponte- H, podendo controlar a velocidade e o sentido de giro de até dois motores de 3-30V DC ou um motor de passo com 2

Leia mais

Entradas Digitais. PdP. Autores: Luís Fernando Patsko e Tiago Lone Nível: Intermediário Criação: 27/12/2005 Última versão: 18/12/2006

Entradas Digitais. PdP. Autores: Luís Fernando Patsko e Tiago Lone Nível: Intermediário Criação: 27/12/2005 Última versão: 18/12/2006 TUTORIAL Entradas Digitais Autores: Luís Fernando Patsko e Tiago Lone Nível: Intermediário Criação: 27/12/2005 Última versão: 18/12/2006 PdP Pesquisa e Desenvolvimento de Produtos http://www.maxwellbohr.com.br

Leia mais

Um capacitor é um sistema elétrico formado por dois condutores separados por um material isolante, ou pelo vácuo.

Um capacitor é um sistema elétrico formado por dois condutores separados por um material isolante, ou pelo vácuo. Capacitores e Dielétricos Um capacitor é um sistema elétrico formado por dois condutores separados por um material isolante, ou pelo vácuo. Imaginemos uma configuração como a de um capacitor em que os

Leia mais

CENTRO TECNOLÓGICO ESTADUAL PAROBÉ CURSO DE ELETRÔNICA

CENTRO TECNOLÓGICO ESTADUAL PAROBÉ CURSO DE ELETRÔNICA CENTRO TECNOLÓGO ESTADUAL PAROBÉ CURSO DE ELETRÔNA LABORATÓRIO DE ELETRÔNA ANALÓGA I Prática: 6 Assunto: Transistor Bipolar 1 Objetivos: Testar as junções e identificar o tipo de um transistor com o multímetro.

Leia mais

AD / DA. EXPERIMENTS MANUAL Manual de Experimentos Manual de Experimentos 1 M-1116A

AD / DA. EXPERIMENTS MANUAL Manual de Experimentos Manual de Experimentos 1 M-1116A AD / DA M-1116A *Only illustrative image./imagen meramente ilustrativa./imagem meramente ilustrativa. EXPERIMENTS MANUAL Manual de Experimentos Manual de Experimentos 1 Conteúdo 1. Objetivos 3 2. Experiência

Leia mais

Instituto Tecnológico de Aeronáutica - ITA Divisão de Engenharia Eletrônica Departamento de Eletrônica Aplicada Laboratório de EEA-21

Instituto Tecnológico de Aeronáutica - ITA Divisão de Engenharia Eletrônica Departamento de Eletrônica Aplicada Laboratório de EEA-21 Instituto Tecnológico de Aeronáutica - ITA Divisão de Engenharia Eletrônica Departamento de Eletrônica Aplicada Laboratório de EEA-21 7ª Experiência Síntese de Circuitos Sequenciais Síncronos 1. Objetivos

Leia mais

Experimento 8 Circuitos RC e filtros de freqüência

Experimento 8 Circuitos RC e filtros de freqüência Experimento 8 Circuitos RC e filtros de freqüência 1. OBJETIVO O objetivo desta aula é ver como filtros de freqüência utilizados em eletrônica podem ser construídos a partir de um circuito RC. 2. MATERIAL

Leia mais

CAPÍTULO 5 CIRCUITOS SEQUENCIAIS

CAPÍTULO 5 CIRCUITOS SEQUENCIAIS CAPÍTULO 5 CIRCUITOS SEQUENCIAIS Circuitos com memória Latches NAND e NOR e exemplos de utilização Estado do Flip-flop ao ligar o circuito Pulsos digitais Sinais de clock e flip-flops com clock circuitos

Leia mais

Velocímetro Digital para Bicicletas

Velocímetro Digital para Bicicletas Universidade Federal do Rio Grande do Norte Departamento de Engenharia Elétrica Velocímetro Digital para Bicicletas Gildenir Soares Batista da Silva Instrumentação Eletrônica, 2009.2 Luciano Fontes Cavalcanti

Leia mais

AULA #4 Laboratório de Medidas Elétricas

AULA #4 Laboratório de Medidas Elétricas AULA #4 Laboratório de Medidas Elétricas 1. Experimento 1 Geradores Elétricos 1.1. Objetivos Determinar, experimentalmente, a resistência interna, a força eletromotriz e a corrente de curto-circuito de

Leia mais

Objetivo A presente prática tem por objetivo verificar na prática os diferentes modos de disparo dos TRIACs.

Objetivo A presente prática tem por objetivo verificar na prática os diferentes modos de disparo dos TRIACs. Universidade Federal do Paraná Curso de Engenharia Elétrica Laboratório de Engenharia Elétrica V TE067 Prof. James Alexandre Baraniuk Equipe: Data: / / EXPERIMENTO PRÁTICO COM TRIAC Objetivo A presente

Leia mais

Disciplina: Laboratório de Circuitos Digitais

Disciplina: Laboratório de Circuitos Digitais Universidade Federal de São Carlos Disciplina: Laboratório de Circuitos Digitais Prof. Dr. Emerson Carlos Pedrino 3ª Prática: Rotação de uma palavra nos Displays de 7 segmentos Data: 10/04/2014 Nome: Adrián

Leia mais

LEI DE OHM LEI DE OHM. Se quisermos calcular o valor da resistência, basta dividir a tensão pela corrente.

LEI DE OHM LEI DE OHM. Se quisermos calcular o valor da resistência, basta dividir a tensão pela corrente. 1 LEI DE OHM A LEI DE OHM é baseada em três grandezas, já vistas anteriormente: a Tensão, a corrente e a resistência. Com o auxílio dessa lei, pode-se calcular o valor de uma dessas grandezas, desde que

Leia mais

Funções Lógicas e Portas Lógicas

Funções Lógicas e Portas Lógicas Funções Lógicas e Portas Lógicas Nesta apresentação será fornecida uma introdução ao sistema matemático de análise de circuitos lógicos, conhecido como Álgebra de oole Serão vistos os blocos básicos e

Leia mais

Display de 7. PdP. Autor: Tiago Lone Nível: Básico Criação: 16/12/2005 Última versão: 18/12/2006. Pesquisa e Desenvolvimento de Produtos

Display de 7. PdP. Autor: Tiago Lone Nível: Básico Criação: 16/12/2005 Última versão: 18/12/2006. Pesquisa e Desenvolvimento de Produtos TUTORIAL Display de 7 Segmentos Autor: Tiago Lone Nível: Básico Criação: 16/12/2005 Última versão: 18/12/2006 PdP Pesquisa e Desenvolvimento de Produtos http://www.maxwellbohr.com.br contato@maxwellbohr.com.br

Leia mais

Manual do instalador Box Input Rev. 0.01.000. Figura 01 Apresentação do Box Input.

Manual do instalador Box Input Rev. 0.01.000. Figura 01 Apresentação do Box Input. Pág. 1/10 Apresentação Equipamento para detecção de acionamentos e monitoração de sensores. Comunicação com outros dispositivos por rede CAN. Possui seis entradas digitais optoacopladas com indicação de

Leia mais

Autor: Luís Fernando Patsko Nível: Intermediário Criação: 22/02/2006 Última versão: 18/12/2006. PdP. Pesquisa e Desenvolvimento de Produtos

Autor: Luís Fernando Patsko Nível: Intermediário Criação: 22/02/2006 Última versão: 18/12/2006. PdP. Pesquisa e Desenvolvimento de Produtos TUTORIAL Montagem da Ponte H Autor: Luís Fernando Patsko Nível: Intermediário Criação: 22/02/2006 Última versão: 18/12/2006 PdP Pesquisa e Desenvolvimento de Produtos http://www.maxwellbohr.com.br contato@maxwellbohr.com.br

Leia mais

Estabilizada de. PdP. Autor: Luís Fernando Patsko Nível: Intermediário Criação: 22/02/2006 Última versão: 18/12/2006

Estabilizada de. PdP. Autor: Luís Fernando Patsko Nível: Intermediário Criação: 22/02/2006 Última versão: 18/12/2006 TUTORIAL Fonte Estabilizada de 5 Volts Autor: Luís Fernando Patsko Nível: Intermediário Criação: 22/02/2006 Última versão: 18/12/2006 PdP Pesquisa e Desenvolvimento de Produtos http://www.maxwellbohr.com.br

Leia mais

1. Sistemas de numeração

1. Sistemas de numeração 1. Sistemas de numeração Quando mencionamos sistemas de numeração estamos nos referindo à utilização de um sistema para representar uma numeração, ou seja, uma quantidade. Sistematizar algo seria organizar,

Leia mais

I Retificador de meia onda

I Retificador de meia onda Circuitos retificadores Introdução A tensão fornecida pela concessionária de energia elétrica é alternada ao passo que os dispositivos eletrônicos operam com tensão contínua. Então é necessário retificá-la

Leia mais

Aula 09. Memórias e Circuitos Digitais Seqüenciais

Aula 09. Memórias e Circuitos Digitais Seqüenciais Aula 09 Memórias e Circuitos Digitais Seqüenciais Introdução Os circuitos lógicos estudados até aqui são chamados de combinacionais (ou combinatórios). São assim chamados porque a sua saída depende apenas

Leia mais

Corrente elétrica corrente elétrica.

Corrente elétrica corrente elétrica. Corrente elétrica Vimos que os elétrons se deslocam com facilidade em corpos condutores. O deslocamento dessas cargas elétricas é chamado de corrente elétrica. A corrente elétrica é responsável pelo funcionamento

Leia mais

Circuitos Digitais. Conteúdo. Introdução. Códigos. Outros Códigos BCD de 4 Bits. Código BCD 8421. Circuitos Combinacionais.

Circuitos Digitais. Conteúdo. Introdução. Códigos. Outros Códigos BCD de 4 Bits. Código BCD 8421. Circuitos Combinacionais. iência da omputação ircuitos ombinacionais Parte II Prof. Sergio Ribeiro onteúdo Introdução ódigos inários ódigo Outros ódigos ódigo Excesso de ódigo Gray ódigos de bits ódigo odificadores e ecodificadores

Leia mais

www.corradi.junior.nom.br - Eletrônica Básica - UNIP - Prof. Corradi Informações elementares - Projetos práticos. Circuitos retificadores

www.corradi.junior.nom.br - Eletrônica Básica - UNIP - Prof. Corradi Informações elementares - Projetos práticos. Circuitos retificadores www.corradi.junior.nom.br - Eletrônica Básica - UNIP - Prof. Corradi Informações elementares - Projetos práticos. Circuitos retificadores Introdução A tensão fornecida pela concessionária de energia elétrica

Leia mais

Projeto de um Controlador de Temperatura Proporcional, Analógico, com Sensor de Temperatura Usando Transistor Bipolar

Projeto de um Controlador de Temperatura Proporcional, Analógico, com Sensor de Temperatura Usando Transistor Bipolar Projeto de um Controlador de Temperatura Proporcional, Analógico, com Sensor de Temperatura Usando Transistor Bipolar Introdução O objetivo deste Laboratório de EE-641 é proporcionar ao aluno um ambiente

Leia mais

Concurso Público para Cargos Técnico-Administrativos em Educação UNIFEI 13/06/2010

Concurso Público para Cargos Técnico-Administrativos em Educação UNIFEI 13/06/2010 Questão 21 Conhecimentos Específicos - Técnico em Eletrônica Calcule a tensão Vo no circuito ilustrado na figura ao lado. A. 1 V. B. 10 V. C. 5 V. D. 15 V. Questão 22 Conhecimentos Específicos - Técnico

Leia mais

ACIONAMENTOS ELETRÔNICOS (INVERSOR DE FREQUÊNCIA)

ACIONAMENTOS ELETRÔNICOS (INVERSOR DE FREQUÊNCIA) ACIONAMENTOS ELETRÔNICOS (INVERSOR DE FREQUÊNCIA) 1. Introdução 1.1 Inversor de Frequência A necessidade de aumento de produção e diminuição de custos faz surgir uma grande infinidade de equipamentos desenvolvidos

Leia mais

LABORATÓRIO N.º 1 Uso do Módulo Digital e Iniciação a Eletrônica Digital

LABORATÓRIO N.º 1 Uso do Módulo Digital e Iniciação a Eletrônica Digital 2014.02 LABORATÓRIO N.º 1 Uso do Módulo Digital e Iniciação a Eletrônica Digital Nome do Aluno(a) I PRIMEIROS CONTATOS COM A I.1- Introdução Na primeira parte das atividades deste roteiro o aluno entrará

Leia mais

Hera Indústria de Equipamentos Eletrônicos LTDA Manual de Instalação e Operação DISCADORA VIA CELULAR. HERA HR 2050. Cel.

Hera Indústria de Equipamentos Eletrônicos LTDA Manual de Instalação e Operação DISCADORA VIA CELULAR. HERA HR 2050. Cel. DISCADORA VIA CELULAR HERA HR 2050. Cel Manual do Usuário ÍNDICE 1 Introdução 03 1.1 Visão Geral 03 1.2 Descrição 03 1.3 Funcionamento 04 1.4 Instalação do Receptor Acionador via cel. 05, 06 e 07 1.5 Configurando

Leia mais

EA773 - Experimento 5

EA773 - Experimento 5 EA773 - Experimento 5 Wu Shin - Ting DCA - FEEC - Unicamp 19 de Novembro de 2009 O projeto consiste em implementar uma calculadora com memória com uso de barramento de 8 bits. Neste documento são discutidos

Leia mais

Módulo FGM721. Controlador P7C - HI Tecnologia

Módulo FGM721. Controlador P7C - HI Tecnologia Automação Industrial Módulo Controlador P7C - HI Tecnologia 7C O conteúdo deste documento é parte do Manual do Usuário do controlador P7C da HI tecnologia (PMU10700100). A lista de verbetes consta na versão

Leia mais

Controle universal para motor de passo

Controle universal para motor de passo Controle universal para motor de passo No projeto de automatismos industriais, robótica ou ainda com finalidades didáticas, um controle de motor de passo é um ponto crítico que deve ser enfrentado pelo

Leia mais

Aula 2 Modelo Simplificado de Computador

Aula 2 Modelo Simplificado de Computador Aula 2 Modelo Simplificado de Computador Um computador pode ser esquematizado de maneira bastante simplificada da seguinte forma: Modelo Simplificado de Computador: Memória Dispositivo de Entrada Processador

Leia mais

4.3. Máquina de estados: São utilizados em sistemas de complexos, é de fácil transformação para ladder desde que não haja muitas ramificações.

4.3. Máquina de estados: São utilizados em sistemas de complexos, é de fácil transformação para ladder desde que não haja muitas ramificações. Parte 4 - Técnicas de programação (Lógica simples) INTRODUÇÃO Programar em ladder é muito simples, desde que ele tenha uma estrutura sob a qual o programa deve ser desenvolvido, ou seja, se deve ter um

Leia mais

ACENDENDO AS LUZES. Capitulo 2 - Aula 1 Livro: Arduino básico Tutor: Wivissom Fayvre

ACENDENDO AS LUZES. Capitulo 2 - Aula 1 Livro: Arduino básico Tutor: Wivissom Fayvre ACENDENDO AS LUZES Capitulo 2 - Aula 1 Livro: Arduino básico Tutor: Wivissom Fayvre Projeto 1 LED piscante Neste capitulo, todos os projetos utilizam luzes LED s de diversas formas. Em relação ao hardware,

Leia mais

UNIVERSIDADE FEDERAL DO ESPÍRITO SANTO CENTRO UNIVERSITÁRIO NORTE DO ESPÍRITO SANTO

UNIVERSIDADE FEDERAL DO ESPÍRITO SANTO CENTRO UNIVERSITÁRIO NORTE DO ESPÍRITO SANTO 34 4.4 Experimento 4: Capacitância, capacitores e circuitos RC 4.4.1 Objetivos Fundamentar o conceito de capacitância e capacitor; Realizar leituras dos valores de capacitância de capacitores; Associar

Leia mais

O ESPAÇO NULO DE A: RESOLVENDO AX = 0 3.2

O ESPAÇO NULO DE A: RESOLVENDO AX = 0 3.2 3.2 O Espaço Nulo de A: Resolvendo Ax = 0 11 O ESPAÇO NULO DE A: RESOLVENDO AX = 0 3.2 Esta seção trata do espaço de soluções para Ax = 0. A matriz A pode ser quadrada ou retangular. Uma solução imediata

Leia mais

Introdução teórica aula 6: Capacitores

Introdução teórica aula 6: Capacitores Introdução teórica aula 6: Capacitores Capacitores O capacitor é um elemento capaz de armazenar energia. É formado por um par de superfícies condutoras separadas por um material dielétrico ou vazio. A

Leia mais

Projetos de Eletrônica Básica II

Projetos de Eletrônica Básica II Projetos de Eletrônica Básica II MUITO CUIDADO NA MONTAGEM DOS CIRCUITOS, JÁ QUE SE ESTÁ TRABALHANDO COM A REDE ELÉTRICA. Projete um sistema para uma casa inteligente, com as seguintes características:

Leia mais

DIODOS. Professor João Luiz Cesarino Ferreira

DIODOS. Professor João Luiz Cesarino Ferreira DIODOS A união de um cristal tipo p e um cristal tipo n, obtém-se uma junção pn, que é um dispositivo de estado sólido simples: o diodo semicondutor de junção. Figura 1 Devido a repulsão mútua os elétrons

Leia mais

Laboratório de Circuitos Elétricos

Laboratório de Circuitos Elétricos Laboratório de Circuitos Elétricos 3ª série Mesa Laboratório de Física Prof. Reinaldo / Monaliza Data / / Objetivos Observar o funcionamento dos circuitos elétricos em série e em paralelo, fazendo medidas

Leia mais

Os capacitores são componentes largamente empregados nos circuitos eletrônicos. Eles podem cumprir funções tais como o armazenamento de cargas

Os capacitores são componentes largamente empregados nos circuitos eletrônicos. Eles podem cumprir funções tais como o armazenamento de cargas Os capacitores são componentes largamente empregados nos circuitos eletrônicos. Eles podem cumprir funções tais como o armazenamento de cargas elétricas ou a seleção de freqüências em filtros para caixas

Leia mais

Circuitos Combinacionais. Sistemas digitais

Circuitos Combinacionais. Sistemas digitais Circuitos Combinacionais Sistemas digitais Agenda } Codificador X Decodificador } Código BCD 8421, código BCH, código 9876543210 } Display de 7 segmentos } Multiplexador X Demultiplexador } Comparadores

Leia mais

Circuitos Sequenciais. Sistemas digitais

Circuitos Sequenciais. Sistemas digitais Circuitos Sequenciais Sistemas digitais Agenda } Introdução } Latchs (trava) } Latch NAND e Latch NOR } Flip-Flop Set-Reset (FF S-R) } FF S-R Latch NAND, FF S-R Latch NOR, FF S-R Latch NAND com Clock }

Leia mais

MEASUREMENTS Medidas. EXPERIMENTS MANUAL Manual de Experimentos Manual de Experimentos 1 M-1102A

MEASUREMENTS Medidas. EXPERIMENTS MANUAL Manual de Experimentos Manual de Experimentos 1 M-1102A MEASUREMENTS Medidas M-1102A *Only illustrative image./imagen meramente ilustrativa./imagem meramente ilustrativa. EXPERIMENTS MANUAL Manual de Experimentos Manual de Experimentos 1 Conteúdo 1. Experiência

Leia mais

Eletrônica Aula 07 CIN-UPPE

Eletrônica Aula 07 CIN-UPPE Eletrônica Aula 07 CIN-UPPE Amplificador básico Amplificador básico É um circuito eletrônico, baseado em um componente ativo, como o transistor ou a válvula, que tem como função amplificar um sinal de

Leia mais

Aplicações com OpAmp. 1) Amplificadores básicos. Amplificador Inversor

Aplicações com OpAmp. 1) Amplificadores básicos. Amplificador Inversor 225 Aplicações com OpAmp A quantidade de circuitos que podem ser implementados com opamps é ilimitada. Selecionamos aqueles circuitos mais comuns na prática e agrupamos por categorias. A A seguir passaremos

Leia mais

Pontifícia Universidade Católica do Rio Grande do Sul Faculdade de Engenharia Disciplina de Lógica Computacional Aplicada. Prof. Dr.

Pontifícia Universidade Católica do Rio Grande do Sul Faculdade de Engenharia Disciplina de Lógica Computacional Aplicada. Prof. Dr. Índice 1. SISTEMAS NUMÉRICOS 1.1 Caracterização dos Sistemas Numéricos 1.2 Sistemas Numéricos em uma Base B Qualquer 1.2.1 Sistema de Numeração Decimal 1.2.2. Sistema de Numeração Binário 1.2.3 Sistema

Leia mais

Circuitos Digitais 144L

Circuitos Digitais 144L Circuitos Digitais Notas de Aula - 02 INSTITUTO: CURSO: DISCIPLINA: Instituto de Ciências Exatas e Tecnologia Ciência da Computação e Sistemas de Informação Circuitos Digitais 144L 1.0 Circuitos Combinacionais.

Leia mais

Relatório Final F-609 Estudo da 1ª e 2ª Lei de Ohm com riscos de grafite em papel.

Relatório Final F-609 Estudo da 1ª e 2ª Lei de Ohm com riscos de grafite em papel. Relatório Final F-609 Estudo da 1ª e 2ª Lei de Ohm com riscos de grafite em papel. Aluno: Claudecir Ricardo Biazoli, RA: 038074. Orientador: Fernando Iikawa Sumário: 1- Introdução 3 2- Importâncias didática

Leia mais

Capítulo 3 - Trabalhando com circuitos digitais

Capítulo 3 - Trabalhando com circuitos digitais Prof. Alan Petrônio Pinheiro Apostila de MultiSim 17 Capítulo 3 - Trabalhando com circuitos digitais O primeiro passo para se trabalhar com circuitos digitais é inserir portas lógicas. Para isto, dispomos

Leia mais